You are on page 1of 92

OFPPT

ROYAUME DU MAROC






















MODULE N 22 LOGIQUE SQUENTIELLE




SECTEUR : ELECTROTECHNIQUE

SPECIALITE : EMI

NIVEAU : TECHNICIEN











ANNEE 2007

Office de la Formation Professionnelle et de la Promotion du Travail
DIRECTION RECHERCHE ET INGENIERIE DE FORMATION

RESUME THEORIQUE
&
GUIDE DE TRAVAUX PRATIQUES
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 1




Document labor par :

Nom et prnom EFP DR
Mme ELKORNO NAIMA CDC - GE




Rvision linguistique
-
-
-

Validation
-
-
-







Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 2



SOMMAIRE

RESUME THEORIQUE ................................................................................................ 7
I. Rgles de construction de la reprsentation graphique dune squence ou dun
cycle.............................................................................................................................. 8
II. Les principaux symboles associs diverses reprsentations graphiques dune
squence. ................................................................................................................... 14
III. Modes de marche et darrt dune squence. .................................................. 16
III.1 Les modes de marche : ................................................................................ 16
III.2 Les arrts :.................................................................................................... 17
IV. Diffrentes reprsentations graphiques dune squence ................................. 18
IV.1 Algorithme: ................................................................................................... 18
IV.2 Chronogramme............................................................................................. 19
IV.3 GRAFCET .................................................................................................... 19
V. La traduction des reprsentations graphiques dune squence sous forme de
schmas ..................................................................................................................... 23
V.1 Mise en quation dune tape....................................................................... 23
V.2 Rgles de conversion dune tape en schma............................................. 27
VI. Les lments de mmoires : ............................................................................ 32
VI.1 Concept de mmorisation :........................................................................... 32
VI.2 Synchronisation des circuits : ....................................................................... 32
VI.3 Les bascules :............................................................................................... 33
VI.3.1 Bascules R S : ....................................................................................... 34
VI.3.2 Bascule R S H ( Bascule synchrone ) :.................................................. 35
VI.3.3 Bascule J K synchrone : ........................................................................ 36
VI.3.4 Bascule D synchrone :........................................................................... 38
VI.3.5 Bascule matre-esclave: ........................................................................ 38
VI.3.6 Bascule T : ............................................................................................ 39
VI.3.7 Initialisation des bascules : .................................................................... 40
VI.4 Monostable astable.................................................................................... 40
VII. Les compteurs :................................................................................................ 41
VII.1 Identification de la fonction :...................................................................... 41
VII.2 Caractristiques des compteurs :.............................................................. 42
VII.2.1 Compteur Modulo 2 : ............................................................................. 42
VII.2.2 Compteur Modulo 2
N
: ........................................................................... 42
VII.2.3 Compteur dont le modulo est diffrent de 2
N
: ....................................... 42
VII.2.4 Compteur binaire asynchrone : ............................................................. 42
VII.2.5 Compteur binaire synchrone : ............................................................... 43
VII.3 Les compteurs asynchrones: .................................................................... 43
VII.3.1 Compteur modulo 8 asynchrone :.......................................................... 43
VII.3.2 Compteur modulo 10 asynchrone ( compteur DCB) :........................... 44
VII.3.3 Dcompteur modulo 8 asynchrone :...................................................... 45
VII.4 Les compteurs synchrones: ...................................................................... 45
VII.4.1 Compteur modulo 8 synchrone:............................................................. 45
VII.4.2 Compteur DCB (modulo 10) synchrone:................................................ 46
VII.4.3 Dcompteur modulo 8 synchrone:......................................................... 47
VII.5 Les compteurs intgrs:............................................................................ 48
VIII. Les registres:.................................................................................................... 52
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE

OFPPT/DRIF/CDC_GE 3
VIII.1 Types de registres :................................................................................... 52
VIII.2 Registres dcalage : .............................................................................. 53
VIII.3 Registres intgrs : ................................................................................... 55
IX. Le codeur et le dcodeur :................................................................................ 59
X. Les afficheurs : .................................................................................................... 61
X.1 Le dcodeur pilote daffichage : .................................................................... 61
X.1.1 Afficheur DCB sept segments :........................................................... 61
X.1.2 Afficheur cristaux liquides LCD :......................................................... 63
XI. Multiplexeurs.................................................................................................... 63
XI.1 Principe dun multiplexeur............................................................................. 63
XI.2 Affichage multiplex :.................................................................................... 65

GUIDE DE TRAVAUX PRATIQUES........................................................................... 68
TP.1 Essai des bascules lmentaires : .................................................................. 72
TP.2 Essai des registres dcalage:....................................................................... 76
TP.3 Essai des compteurs asynchrones: ................................................................. 78
TP.4 Essai des compteurs synchrones: ................................................................... 81
TP.5 Essai des compteurs intgrs : ....................................................................... 84
TP.6 Ralisation de dcodeurs logiques.................................................................. 87
valuation de fin de module :...................................................................................... 89
Liste bibliographique................................................................................................... 91


Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE



OFPPT/DRIF/CDC_GE 4



MODULE 22 : LOGIQUE SEQUENTIELLE

Code : Dure : 45 h
OBJECTIF OPERATIONNEL



COMPORTEMENT ATTENDU

Pour dmontrer sa comptence le stagiaire doit
appliquer des notions de logique squentielle
Selon les conditions, les critres et les prcisions qui suivent.


CONDITIONS DEVALUATION

A partir :
- de directives ;
- dune reprsentation graphique dune squence;
- dun schma.
A laide :
- de manuels techniques;
- de fiches techniques ;
- de composants logiques ;
- dinstruments de mesure.


CRITERES GENERAUX DE PERFORMANCE

Respect des rgles de sant et de scurit au travail.
Pertinence de lutilisation des outils et des instruments.
Pertinence de la terminologie utilise.
Qualit des travaux.









Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE

OFPPT/DRIF/CDC_GE 5

OBJECTIF OEPRATIONNEL

PRECISIONS SUR LE
COMPORTEMENT ATTENDU



A) Dcrire les diffrentes
reprsentations graphiques dune
squence.


B) Traduire des reprsentations
graphiques dune squence sous
forme de schmas.


C) laborer des schmas base de
circuits intgrs.



D) Monter des circuits de base.






CRITERES PARTICULIERS DE
PERFORMANCE




- Identification juste des symboles.
- Description juste des
reprsentations dune squence.


- Conformit du schma avec la
reprsentation graphique.
- Trac adquat du schma.


- Conformit du schma de montage
avec le cahier de charges;
- Trac adquat du schma.



- Slection judicieuse des
composants.
- Conformit du montage avec le
schma.
- Fonctionnement correct du circuit.



Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE

OFPPT/DRIF/CDC_GE 6



Prsentation du Module :


Ce module de logique squentielle constitue la suite du module sur la logique
combinatoire. Son objectif est de faire acqurir aux stagiaires des connaissances
relatives aux lments de mmoire savoir les bascules, aux compteurs
asynchrones et synchrones. Dans un mme temps le stagiaire aura loccasion de
faire ltude de montages de circuits de base en logique squentielle. Il vise donc
rendre le stagiaire apte appliquer des notions de logique squentielle.

La dure de ce module est de 45 h dont 25 h de thorie,17 h de pratique et 3 h
dvaluation.
























Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE

OFPPT/DRIF/CDC_GE 7








MODULE N 22: LOGIQUE SQUENTIELLE






RESUME THEORIQUE
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 8


Introduction :

Toute machine fonctionne selon un cycle, cest dire que partant dun tat donn, la
machine effectuera diffrents mouvements, diffrentes actions et repassera ltat
de dpart.

Tout ce qui se passe entre deux passages dans cet tat de dpart est appel cycle.

Exemple : Poinonneuse semi-automatique.

La poinonneuse reprsente schmatiquement ci-dessous se compose dune table
fixe, la tle poinonner et dun poinon mobile.


Constitution de la poinonneuse



Considrons la poinonneuse en sa
position origine de repos, poinon en
haut.

Loprateur en donnant linformation
Marche provoque automatiquement
la descente du poinon suivie de sa
remonte en position de repos.

Nous dirons alors que la
poinonneuse a dcrit un cycle.




Une squence est un ensemble de comportements lis les un aux autres par des
conditions.
Pour pouvoir construire les diverses reprsentations graphiques dune squence ou
dun cycle, il faut dterminer :

a) Les grandes tapes :

Reprenons lexemple de la poinonneuse semi-automatique. Une telle machine
prsente successivement trois comportements diffrents.
Nous appellerons tapechacun de ces comportements.



I. Rgles de construction de la reprsentation graphique dune
squence ou dun cycle
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 9


Description des tapes








Ces trois tapes sont :


ETAPE 1 : Comportement :
La poinonneuse est au
repos.






ETAPE 2 : Comportement :
Descendre le poinon.






ETAPE 3 : Comportement :
Remonter le poinon
Nous pouvons donc, dans un premier temps, dfinir une tape comme une situation
du cycle de fonctionnement pendant laquelle le comportement de lautomatisme de
commande demeure constant.

Sous une autre forme, tout changement de comportement provoque obligatoirement
le passage une autre tape.
Sur la machine le comportement de lautomatisme se manifeste par des actions ou
plus exactement par des ordres envoys vers les organes chargs dexcuter ces
actions.

Sur la poinonneuse deux actions sont effectues :

- La descente du poinon associe ltape 2.
- La remonte du poinon associe ltape 3.

b) Les points de prise de dcision :

Il sagit maintenant de dterminer ce qui provoque un changement de
comportement de la machine cest--dire les conditions logiques qui dterminent le
passage dun comportement un autre.

Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 10

Nous qualifierons chaque passage dun comportement un autre comme tant le
franchissement dun point de prise de dcision pour bien montrer son irrversibilit.

Par exemple, le passage de la position de repos (tape 1) la descente du poinon
(tape 2) ne peut seffectuer que si loprateur fournit linformation Marche et que
si le poinon est en position haute (condition initiale).

Reprenons lexemple de la poinonneuse semi-automatique

ETAPE 1 : tape initiale
Position initiale du poinon.

Point de dcision 1 : Condition de passage de ltape 1 ltape 2 :
Information marche et poinon en position haute.

ETAPE 2 : Descendre le poinon.

Point de dcision 2 : Condition de passage de ltape 2 ltape 3 :
Poinon en position basse.

ETAPE 3 : Remonter le poinon.

Point de dcision 3 : Condition de passage de ltape 3 ltape 1 :
Poinon en position haute.

Nous pouvons donc dfinir des points de prise de dcision comme des points o on
exploite des conditions variables impliquant le choix dune voie parmi plusieurs ou le
passage dune tape une autre. Cest l o on effectue des tests ou alternance.

Ces points de dcision sont appels aussi transitions qui sont conditionnes par des
rceptivits constitues de fonctions logiques des diffrentes variables ncessaires
au passage ltape suivante.

c) Sil y a rptition ou arrt de la squence :

La reprise de squence ou boucle, permet de reprendre une ou plusieurs fois la
mme squence tant quune condition fixe nest pas obtenue (cest un type
daiguillage).

Exemple :

Considrons un exemple : chauffage dun local.

Dans un local, le chauffage ne doit fonctionner que pour des tempratures
infrieures 18C.

On a ici deux sortes de reprises de squence :

Aprs le test (
L
< 18C), sur la rponse OUI, cest une boucle
conditionnelle qui permet de faire marcher le chauffage et reprendre
ltape de la mesure de temprature.

Aprs la dernire information de sortie (chauffage arrt) cest une boucle
dinitialisation qui autorise le systme continuer sa rgulation.
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 11

d) Sil y a saut de squence :

Exemple : Perceuse avec ou sans dbourrage.





Soit une perceuse automatique fixe
sur une console coulissant sur un bti
mtallique.

Suivant lpaisseur et la nature des
pices percer loprateur peut
choisir entre deux cycles possibles :
Soit le cycle sans
dbourrage :
comprenant les mouvements
suivants :

Position haute : h
Approche termine : b1
Perage termin : b3
Descente en grande vitesse
jusqu' b1
Descente vitesse travail
jusqu' b1
Remonte jusqu' h


Soit le cycle avec dbourrage effectuant une remonte de la broche une
position intermdiaire afin de dgager le foret avant de terminer le perage dj
commenc. Ce cycle est le suivant :

Position haute : h
Approche termine : b1
Perage termin : b3
Perage intermdiaire : b2
Descente en grande vitesse
jusqu' b1
Descente vitesse travail
jusqu' b2
Remonte jusqu' b1
Descente vitesse travail
jusqu' b3
Remonte jusqu' h


Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 12

Les tapes du cycle avec dbourrage sont :

ETAPE 1 : tape initiale (ATTENTE)

ETAPE 2 : Descente en grande vitesse (APPROCHE)

ETAPE 3 : Descente en petite vitesse (PERCAGE)

ETAPE 4 : Remonte en grande vitesse (DEGAGEMENT)

ETAPE 5 : Descente en petite vitesse (PERCAGE)

ETAPE 6 : Remonte en grande vitesse (RETOUR)

Les tapes du cycle sans dbourrage sont :

ETAPE 1 : tape initiale (ATTENTE)

ETAPE 2 : Descente en grande vitesse (APPROCHE)

ETAPE 3 : Descente en petite vitesse (PERCAGE)

ETAPE 6 : Remonte en grande vitesse (RETOUR)

Remarquons que le cycle sans dbourrage correspond au saut des tapes 4 et 5 dont les
comportements sont inutiles dans ce cycle.

Nous pouvons donc dfinir un saut conditionnel dtapes comme une rupture de squence
(autre type daiguillage).

e) sil y a un choix conditionnel entre plusieurs squences :

Dans le fonctionnement dun quipement automatis, il est ncessaire deffectuer une
slection exclusive dune squence parmi plusieurs squences (aiguillage).

Exemple: station de pompage (voir figure suivante)

Un groupe moto-pompe alimente en eau, partir des bassins de reprise, le rservoir dun
chteau deau.
Deux modes de fonctionnement sont possibles :
- Marche manuelle : le responsable de linstallation commande volont la marche ou
larrt du groupe moto-pompe.

- Marche automatique : (commande automatique) : en fonction de deux niveaux
prdtermins deau dans le rservoir, niveau bas et haut, le groupe se met
automatiquement en marche ou sarrte.

On a donc une tape initiale commune aux deux modes de fonctionnement :
ETAPE 1 : tape initiale (ATTENTE)
quipement sous tension.
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 13

Suivant que le commutateur est sur position marche automatique ou sur position marche
manuelle on a le choix entre deux squences



Squence 1 : marche manuelle
Point de dcision : position du commutateur sur ML et information marche.

tape 2 : Mettre le groupe en marche.
Point de dcision : information darrt.

tape 3 : Arrter le groupe

Squence 2 : Marche automatique
Point de dcision : position du commutateur sur AQ
et information niveau bas atteint.

tape 4 : Mettre le groupe en marche
Point de dcision : information niveau haut atteint.

tape 5 : Arrter le groupe.

Aprs la fin de la squence choisie 1 ou 2, on a un point de dcision qui permet de vrifier
si on a la position repos du contacteur du moteur de pompe et puis retour ltape initiale.

f) Sil y a des squences simultanes :

Le cycle de fonctionnement dun quipement automatis peut comporter plusieurs
squences qui sexcutent simultanment mais dont les volutions des tapes actives
dans chaque squence restent indpendantes.

Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 14

Exemple : Poste de perage (voir figure suivante)


Un plateau tournant dessert 3 postes de travail : le premier de chargement, le deuxime
de perage, et le troisime de contrle et d'vacuation des pices perces. Donc on aura
3 squences :

Squence 1 : de chargement
Squence 2 : de perage
Squence 3 : de contrle et dvacuation.

Chacune de ces squences est compose dun certain nombre dtapes.
Lorsque lordre marche apparat condition que la partie oprative soit correctement
positionne, les trois squences prcites sont simultanment actives. A partir de cette
situation les 3 trois voluent indpendamment les unes des autres mais elles devront tre
toutes acheves pour aboutir une volution commune ltape qui provoque la rotation
du plateau.

Les principaux symboles associs diverses reprsentations graphiques dune squence
sont rsums dans le tableau ci-dessous :
II. Les principaux symboles associs diverses reprsentations
graphiques dune squence.
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 15


Symboles
Dsignations




Dbut dun organigramme





Point dentre de donnes ou de sortie de rsultats




Action cest--dire opration ou groupe doprations sur
des donnes. Cest le symbole gnral traitement




Indication dun point de dcision (test ou alternance)
Cest--dire exploitation de conditions variables
impliquant le choix dune voie parmi plusieurs.




Ce symbole est utilis lorsquune ou plusieurs voies
doivent lavoir atteint avant quune ou plusieurs voies qui
en sortent soient utilises en parallle ou suivant un
ordre quelconque.




tape initiale

Ou

Renvoi : donne la possibilit de raccorder des segments
de grandes squences.




tape simple





Transition



Fin dun organigramme


Sens conventionnel des liaisons

Le sens gnral des lignes de liaisons doit tre :
De haut en bas ;

Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 16


De gauche droite ;

Lorsque le sens ainsi dfini nest pas respect, des pointes de flches cheval sur la ligne
indiquent le sens utilis :


III.1 Les modes de marche :

Un mode de marche est un choix de fonctionnement, effectu par loprateur, conditionnant
la faon dont doit se drouler le cycle de lautomatisme de commande.

Malgr la grande varit des modes de marche rencontrs sur les automatismes
industriels, il est possible de les regrouper en deux grandes catgories :

Les marches automatiques ou de production.
Les marches dintervention.

a) Les marches automatiques :

Les marches automatiques sont considres comme le fonctionnement normal de
lautomatisme.
Fonctionnement semi-automatique Marche cycle par cycle Cycle unique :

Chaque cycle, command par linformation dpart cycle, se droule automatiquement
mais ncessite chaque fois une nouvelle intervention de loprateur pour pouvoir excuter
le cycle suivant.

Exemple :
Droite
Gauche CM1
HLIM
CM1
HLIM


- Le chariot est initialement gauche.
- En activant un bouton poussoir dpart cycle (dcy), le chariot effectue le cycle
suivant :
Dplacement vers la droite jusqu fin de course HLIM;
Dplacement vers la gauche jusqu fin de course HLIM;
Arrt du chariot.

Daprs le cahier de charge de cet exercice, il faut une nouvelle activation du bouton dcy
pour excuter le cycle suivant.
III. Modes de marche et darrt dune squence.
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 17


Fonctionnement automatique Marche cycle automatique Cycles continus :

Aprs action sur un bouton poussoir dpart cycle, le cycle se rpte indfiniment jusqu
ce que lordre darrt soit donn, cet arrt ne seffectuant quune fois le cycle termin.

Prcisons bien que cette demande darrt nintervienne que pour viter lexcution dun
nouveau cycle mais ne provoque pas larrt du cycle en cours.

Exemple :

Reprenons lexemple prcdent avec un cahier de charge diffrent :

- Le chariot est initialement gauche.
- Ds quon active un bouton poussoir dpart cycle, le chariot effectue les
dplacements suivants :

Dplacement droite jusqu fin de course HLIM
Dplacement gauche jusqu fin de course HLIM

Le cycle recommence jusqu lactivation dun bouton poussoir arrt cycle la fin du
cycle.

Le choix de ces marches de production est laiss loprateur. Elles peuvent tre ralises
par un commutateur bidirectionnel deux positions maintenues :

Cycle / Cycle
Cycle automatique S


Remarque : Larrt des cycles continus seffectue en plaant le commutateur sur la position
Cycle par Cycle.

b) Les marches dintervention :

Les marches dites dintervention ou de maintenance, dont les plus connues sont les
marches manuelles, ncessitent de la part de celui qui les utilise une connaissance trs
prcise de la machine et de ses possibilits. Ces modes ne seront donc gnralement
excuts que sous la responsabilit dun rgleur ou dun agent de maintenance.

III.2 Les arrts :

Les arrts ne constituent pas proprement parler un mode de marche mais peuvent
imposer aussi au cycle des structures particulires.

a) Larrt momentan :

Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 18

Un arrt momentan interrompt immdiatement les ordres de commande de toute ou
partie des actions en cours.
Il est donc possible, sous le contrle de loprateur, de reprendre le fonctionnement du
cycle lendroit o il a t interrompu.

b) Les arrts durgence :

Un arrt durgence provoque lannulation de tous les ordres de commande, que ceux-ci
soient manuels ou automatiques. Il peut quelques fois laisser certaines actions maintenues
ou en enclencher dautres suivant le sens de la scurit.
Larrt durgence peut aussi effectuer la remise zro du ou des cycles, cest dire la
dsactivation de toutes les tapes actives ou rinitialiser le cycle si cette opration ne
savre pas dangereuse pour la partie oprative.
La machine doit donc dans certains cas tre ramene sa position initiale ou dorigine,
manuellement ou, partir dune squence particulire de dgagement.

IV.1 Algorithme:

Un algorithme est une rgle. Il sexprime par une suite ordonne de directives composes
dactions et de dcisions quil faut excuter en squence suivant un enchanement strict
pour accomplir une tche quelconque. On peut considrer que toute succession de tches
logiques constitue lalgorithme de son rsultat.

Exemples : Chauffage dun local

Dans un local le chauffage ne doit fonctionner que pour des tempratures infrieures
18C.

Mesurer
la temprature
du local
Dbut
L
C L <18
Chaufage = 0
Chaufage = 1
Fin
OUI
NON



tat 1 : Chauffage en marche.

tat 0 : Chauffage arrt.
IV. Diffrentes reprsentations graphiques dune squence
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 19


IV.2 Chronogramme

Il permet de visualiser linteraction des variables binaires dun circuit. Il reprsente par un
graphique les tats 0 et 1 de celles-ci en fonction du temps.

Chronogramme dun dmarrage toile-triangle dun moteur asynchrone triphas cage :
commande semi-automatique, un sens de marche.

1
0
S1
S2
KM1
KM2
KM3
M
Arrt
Marche
Etoile
Ligne
Triangle
Moteur
Couplage en
Y
Couplage en
Decalage de 40 ms
la fermeture
Temporisation
1
1
1
1
1
0
0
0
0
0
t


IV.3 GRAFCET

Le GRAFCETest une reprsentation graphique du comportement dun systme
automatis.
Le trac de ce graphique est dfini par :

- Des lments de base : tape, Transition, liaisons orientes permettant de
construire la structure squentielle de lautomatisme ;
- Une interprtation : Actions associes aux tapes, Rceptivits associes aux
transitions permettant de dcrire le fonctionnement de la partie oprative et de la
partie commande ;
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 20

- Des rgles dvolution, permettant dobtenir des documents pouvant tre interprts
sans ambigut par les diffrents intervenants dans lautomatisme.

a) lments de base :

tape : Caractrise un comportement invariant dune partie ou de la totalit de la
partie commande du systme.

Actions associes ltape : Elles traduisent ce qui doit tre fait chaque fois que
ltape laquelle elles sont associes est active.

Transition : Elle indique la possibilit dvolution entre tapes. Cette volution
saccomplit par le franchissement de la transition.

Rceptivit : associe la transition : Cest une condition logique vraie ou fausse
des diffrentes variables ncessaires au franchissement de la transition.

Liaisons orientes : Ce sont des lignes verticales ou horizontales qui relient les
tapes aux transitions et les transitions aux tapes.

b) Rgles dvolution du GRAFCET

Rgle 1 : Linitialisation prcise les tapes actives au dbut du fonctionnement.

Rgle 2 : Une transition est soit valide, soit non valide. Elle est valide lorsque
toutes les tapes immdiatement prcdentes sont actives. Elle ne peut
tre franchie que lorsquelle est valide et que la rceptivit associe
la transition est vraie.

Exemple :

13
14
m(k+p)=0 ou 1
df
13
14
m(k+p)=0
df
13
14
m(k+p)=1
df


Transition non valide Transition valide Transition franchie
(tape 13 tant inactive) mais ne peut tre franchie (rceptivit m (k+p) =1)
(tape 13 active mais
rceptivit m (k+p) =0)

Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 21

Rgle 3 : Le franchissement dune transition entrane lactivation de toutes les
tapes immdiatement suivantes et la dsactivation de toutes les
tapes immdiatement prcdentes.
Exemple :

10 17 23
11 12
1 0ou c b k = +
10 17 23
11 12
0 = + c b k
10 17 23
11 12
1 = + c b k

Transition non valide Transition valide Transition franchie
(tape 10 inactive) mais ne peut tre franchie (rceptivit 1 = + c b k )
(rceptivit 0 = + c b k )

Rgle 4 : Plusieurs transitions simultanment franchissables sont simultanment
franchies.
Rgle 5 : Si au cours dun fonctionnement une mme tape doit tre dsactive et
active simultanment, elle reste active.

c) Emploi du diagramme fonctionnel GRAFCET

A fin de dfinir correctement le cahier des charges dun quipement, le diagramme
fonctionnel est utilis 2 niveaux :

Niveau 1 : Permet de comprendre ce que lautomatisme doit faire face aux
diffrentes situations pouvant se prsenter lui.
Niveau 2 : Le choix technologique tant fait, la description donne les prcisions
ncessaires la ralisation pratique de lquipement.


Exemple : Tte dusinage.

Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 22



GRAFCET niveau 1 :

On dsire percer des pices laide dune broche anime dun mouvement de rotation et
dun mouvement vertical.

Approche en grande
vitesse - rotation broche
Perage en petite vitesse
et rotation broche
1
4
2
Dpart cycle
Dbut de perage
3
Niveau bas
1
Niveau haut
Etape initiale
Equipement sous tension
broche en niveau haut
Dgagement en grande
vitesse - rotation broche


GRAFCET niveau 2

Aprs le choix technique (actionneurs, capteurs) le GRAFCET niveau 2 apporte les
prcisions ncessaires la ralisation de lquipement.
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 23


1
4
2
dcy
b1
3
b2
1
b0
D GV RB
D
PV RB
M GV RB




V.1 Mise en quation dune tape

Une tape de rang (n) a deux tats : actif et inactif qui peuvent respectivement scrire :A(n)
et A(n).

(n -1)
(n)
R
R
(n+1)
1
2




Les conditions dactivation dune tape sont les
suivantes :

- Ltape de rang (n-1) doit tre active, soit
A(n-1) = 1.

- La rceptivit de la transition entre ltape
de rang (n-1) et ltape de rang (n) doit
tre vraie, soit t(n-1) (n) = 1.

- La condition de dsactivation est que
ltape de rang (n+1) soit active, soit
A(n+1)=1.
De plus, aprs activation, ltape mmorise son tat.
Si m(n) est sa mmoire : m(n) = 1.
V. La traduction des reprsentations graphiques dune squence sous
forme de schmas
D : descente;
M : monte;
GV : grande vitesse;
PV : petite vitesse;
RB : rotation broche;
Dcy : dpart cycle;
b2 : fin de course niveau bas;
b0 : fin de course niveau haut;
b1 : dbut de perage.
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 24

Sachant que la dsactivation est prioritaire sur lactivation, lquation gnrale de ltat actif
dune tape peut scrire :

A(n) = (activation OU mmorisation) ET PAS dsactivation
A(n) = {A(n-1) t(n-1) (n) +m(n)}.(n+1)

Exemples dapplication :

a) tape prcdant le dbut de deux squences simultanes


3
4
5 13
R
1
R
2






tape 4 : A4 = (A3.R1+m4). 13 5 A A
A4 = (A3.R1+m4). ( 5+ 13)


5+A
13
: condition de non dsactivation de
ltape 4 qui prend en compte la divergence en
ET.
b) tape prcdant un choix conditionnel entre plusieurs squences

3
4
5 13
R
1
R
2
R
3








tape 4 : A4 = (A3.R1+m4).(A5+A13)
A4 = (A3.R1+m4).5 13

5.13 : condition de non dsactivation
de ltape 4 qui prend en compte la
divergence en OU.
c) tape terminant deux squences simultanes ou choisies aprs un aiguillage


Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 25

14
15
16
R
2
11
12
R
1
R
3

14
15
16
R
2
11
12
R
1
R
3
R
4


Convergence en ET Convergence en OU

Pour les deux cas de figure :

tape 12 : A12 = (A11.R1+m12).16

tape 15 : A 15= (A14.R2+m15).16

16 : condition de non dsactivation commune aux deux tapes 12 et 15.


d) tape de dbut dune squence aprs convergence en ET

16
17
12 15
R
2
R
1










tape 16 : A16 =(A12.A15.R1+.m16).17

A12.A15.R1 :condition dactivation de ltape 16
qui pend en compte la convergence en ET


e) tape de dbut dune squence aprs une convergence en OU


Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 26

16
17
12 15
R
3
R
1
R
2




tape 16 : A16 = (A12.R1+A15.R2+m16).
17

A12.R1+A15.R2 : condition dactivation de
ltape 16 qui prend en compte la
convergence en OU
f) Reprise de squence ou boucle
R2
R3
R4
9
7
R1
8
1
10
R5
6


Soit la boucle 7-8-9 :

Premire tape de la boucle : tape 7

A7 = (A6.R1+A9.R5+m7). 8.

A6.R1 :condition dactivation de ltape 7 en venant de ltape 6.
A9.R5 :condition dactivation de ltape 7 en venant de ltape 9.

Dernire tape de la boucle :tape 9

A9 = (A8.R3+m9).(A10+A7)

A9 = (A8.R3+m9).A10.A7

A
10
.A
7
:condition de non dsactivation de ltape 9 qui prend en compte la condition de
reprise de squence.

g) Saut dtapes

Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 27

34
32
R1
R2
33
R4
1
R5
35
R3
31
30
R6











Soit le saut des tapes 31-34

tape qui prcde le saut : tape 31

A
31
= (A
30
.R
1
+m
31
).(A
32
+A
34
)

A
31
=(A
30
.R
1
+m
31
).A
32
A
34

A
32
A
34
: condition de non dsactivation de
ltape 31 qui prend en compte la
condition de saut.

tape qui suit le saut : tape 34

A34 = (A33.R5+A31.R3+m34). .35

A
33
.R
5
:condition dactivation de ltape 34
dans le cas o il ny a pas de saut de
squence.
A
31
. R
3
: condition dactivation de ltat 34
dans le cas o il y a saut de squence.



V.2 Rgles de conversion dune tape en schma

a) Schma lectronique

- Reprsenter chaque tape par une mmoire (bascule bistable type RS). Lquation
gnrale dune bascule bistable dclenchement prioritaire est :

tat de la sortie Q = (enclenchement ou mmoire) ET PAS dclenchement

( ) D m E Q + =
- crire les quations denclenchement et de dclenchement de chaque tape
(bascule).

- Traduire ces quations en schmas logiques (logigramme).





Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 28

Exemple : Tte dusinage

GRAFCET : quations des bascules bistables
(enclenchement E, dclenchement D)


1
4
2
Dcy
S2
3
S3
1
MB
MB
MB
S1
MA
AV - GV
MA
AV - PV
MA
AR- GV


Schma logique :
m1
m2
m3
m4
&
&
&
&
Dcy
S 1
Q
1
Q
2
Q
3
Q
4
S
2
S
3


1 4 1 S Q E =
2 1 Q D =
cy D Q E = 1 2
3 2 Q D =
2 2 3 S Q E =
4 3 Q D =
3 3 4 S Q E =
1 4 Q D =
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 29

b) Schmas lectriques

Le principe reste le mme que celui utilis pour les schmas lectroniques. Llment
mmoire utilis est le relais bistable accrochage mcanique ou magntique.

Symboles :

Relais bistable accrochage mcanique

Accrochage mcanique Circuit dutilisation


KA1 KA2 1 2



Enroulement Enroulement
denclenchement de dclenchement

Relais bistable accrochage mcanique

D E
E D
KA


La solution actuellement la plus rpondue est lutilisation des relais accrochage
mcanique.

Exemple : (Station de mlange)

Le schma contacts ou logique se fait trs rapidement et dune faon mthodique, sans
quil soit besoin de se poser des questions sur le fonctionnement de la partie oprative, au
seul vu du GRAFCET.

Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 30

1
4
2
Dcy.VMF.MEV
NVA
3
RT1.RT2
1
T1V
5
6
7
8
RT2.RT3
T2V
VIC
MEV




GRAFCETniveau 2



Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 31




VIC
T2V
RT2
RT3
T1V
RT1
RT2
NVA
DCY
VMF
MEV
MEV
m
8
m
2
m
1
m
3
m
2
m
4
m
3
m
5
m
4
m
6
m
5
m
7
m
6
m
8
m
7
m
1
m
8
m
7
m
6
m
5
m
4
m
3
m
2
m
1



Schma contacts correspondant




Information Capteur
Dpart cycle
Niveau deau atteint
Trmie 1 vide
Trmie 2 vide
Rotation tapis 1
Rotation tapis 2
Rotation tapis 3
Mlangeur vide
Vidange mlange ferme
Viscosit correcte
DCY
NVA
T1V
T2V
RT1
RT2
RT3
MEV
VMF
VIC









Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 32


VI.1 Concept de mmorisation :
( figure 6.1)

Pour certains oprateurs, ltat de la sortie dpend non seulement de la combinaison
applique lentre (logique combinatoire) mais aussi de ltat prcdent des sorties du
circuit : ils sont dits squentiels et ont un effet mmoire . La logique squentielle est
donc une logique combinatoire avec une mmorisation des sorties. Cette mmorisation est
ralise par ce quon appelle une bascule ; cest un organe de mmorisation unitaire
(mmorisation dune seule donne).



Logique

combinatoire

Mmorisation
E1
E2
S1
S2


Figure 6.1 Schma gnral dun circuit squentiel
Exemple :

On prend lexemple dun poste marche-arrt qui commande le fonctionnement dun moteur.
Une action momentane sur le bouton <<marche>> met le moteur en fonction aussi
longtemps que le bouton-poussoir <<arrt>> nest pas actionn. Dans le tableau de la
figure 6.2, on remarque que les variables dentre des tapes 1 et 3 ont la mme valeur,
mais que ltat de la sortie est diffrent ; un dispositif de mmoire a maintenu le moteur en
marche. Il devient donc impossible de construire une table de karnaugh comme en logique
combinatoire et de raliser le circuit laide de simples portes logiques.


tape Bouton-poussoir <<marche>> Bouton-poussoir <<arrt>> Moteur
1 0 0 0
2 1 0 1
3 0 0 1
4 0 1 0
5 0 0 0

Figure 6.2 Poste marche-arrt

On peut dire que le concept de mmorisation est llment fondamental de la logique
squentielle.

VI.2 Synchronisation des circuits :

Il existe des circuits synchrones et asynchrones. La diffrence entre ces deux catgories se
situe au regard du synchronisme des actions Un circuit asynchrone peut changer dtat
VI. Les lments de mmoires :
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 33

tout moment selon les variables dente. Par contre, un circuit synchrone incorpore un
signal dhorloge qui sert enclencher les actions

a) Circuit squentiel asynchrone :

Dans un circuit squentiel asynchrone, le changement de ltat logique de la sortie peut
seffectuer nimporte quel moment selon le changement des variables dentre. La
dtermination de ltat logique du systme seffectue instantanment, do la difficult de
concevoir, et surtout de dpanner des circuits asynchrones.

b) Circuit squentiel synchrone :

Dans un circuit squentiel synchrone, un signal dhorloge commande le changement de
ltat logique de la sortie. Le systme est alors susceptible de changer dtat uniquement
des moments prcis dtermins par lhorloge. Cela facilite la conception et le dpannage du
circuit par une analyse pas pas dicte par chaque coup de lhorloge. Il faut noter que les
variables doivent tre constantes et non fugitives au moment prcis du coup de lhorloge,
sinon elles ne seront pas prises en considration. (Lexpression <<variables
constantes>>signifie que les variables sont dans un tat stable).

c) Signal dhorloge :

Lhorloge gnre habituellement un train dondes carres dune dure fixe, comme le
prsente la figure 6.3.Cette dure sappelle priode et se mesure en secondes.

1
Front montant
Front descendant
T T : priode (seconde)


Figure 6.3 Signal dhorloge

Il importe de distinguer les niveaux et les transitions d'une onde carre. On connat dj le
niveau BAS (tat logique 0) et le niveau HAUT (tat logique 1) associs aux tats stables
d'une onde. Les transitions correspondent aux changements d'un tat stable vers un autre.
On dsigne le signal ascendant par l'expression front montant (transition positive de 0 1)
et le signal descendant, par front descendant (transition ngative de 1 0).

VI.3 Les bascules :

La bascule est un circuit bistable pouvant prendre deux tats logiques "0" ou "1". L'tat de
la bascule peut tre modifi en agissant sur une ou plusieurs entres. Le nouvel tat de la
bascule dpend de l'tat prcdent, c'est l'lment de base des circuits squentiels. La
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 34

bascule peut conserver son tat pendant une dure quelconque, elle peut donc tre utilise
comme mmoire.




Une bascule RS peut tre ralise par lassociation doprateurs NON-OU (NOR) ou NON-
ET (NAND).

La bascule RS prsente : ( voir figure 6.4)

S : entre de mise 1 (SET) de Q ;
R : entre de mise 0 (RESET de Q ;
Q et Q : sorties complmentaires ;


S Q


R /Q

Figure 6.4 - Symbole dune bascule RS


a) Bascule RS oprateurs NON-OU : Voir Figure 6.5

&
Q
S
R
1
1 Q


Figure 6.5 Bascule RS oprateurs NON-OU

Table de fonctionnement : Voir figure 6.6

Entres Sorties
R S Q
Q
0 0 Inchang
0 1 1 0
1 0 0 1
1 1 Ambigut

Figure 6.6 - Table de vrit de la bascule RS oprateurs NON-OU



VI.3.1 Bascules R S :
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 35

b) Bascule RS oprateurs NON-ET : Voir figure 6.7

&
Q
S
R
Q
&
&


Figure 6.7 - Bascule RS oprateurs NON-ET

Table de fonctionnement : Voir figure 6.8

Entres Sorties
R S Q
Q
0 0 Ambigut
1 0 1 0
0 1 0 1
1 1 Inchang

Figure 6.8 - Table de vrit de la bascule RS oprateurs NON-ET

VI.3.2 Bascule R S H ( Bascule synchrone ) :

Dans la bascule RS, la sortie change dtat, au temps de propagation prs, au moment o
la combinaison des tats des entres est change, son mode de fonctionnement est
asynchrone.

Dans une bascule synchrone RSH le changement dtat de la sortie qui correspond une
nouvelle combinaison dtats dentres ne peut seffectuer que sur le front actif, montant ou
descendant, dun signal dhorloge (voir figure 6.9).

S







figure 6.9 - Ralisation de la bascule RSH avec des oprateurs NON-ET

La bascule RSH comprend : (voir figure 6.10).

- Trois entres :
S : mise 1 ;
R : mise 0 ;
H : entre dhorloge, active sur le front montant ou descendant du signal ;
- Deux sorties : Q et Q dont les tats sont complmentaires ;
&
&
&
&
R
H
Q
Q
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 36

S
H
R
Q
Q
Bascule synchrone active sur
le front montant du signal
d'horologe
S
H
R
Q
Q
Bascule synchrone active sur
le front descendant du signal
d'horologe


Figure 6.10 Symboles dune bascule RSH

Table de fonctionnement : Voir figure 6.11

Entres Sorties
H S R Qn+1 Qn+1
Mode de fonctionnement de la bascule
ou

0 0 Qn Qn Mmorisation de ltat prcdent (inchang)
ou

1 0 1 0 Mise 1
ou

0 1 0 1 Mise 0
ou

1 1 Ambigut Les tats de sorties sont indtermins ne pas utiliser.

Figure 6.11 - Table de vrit de la bascule RSH

Exemple de bascule RSH dclenche par front montant : voir figure 6.12












figure 6.12 - Table de vrit et chronogramme de bascule RSH dclenche par front
montant

VI.3.3 Bascule J K synchrone :

La bascule J K synchrone (simple tage) est obtenue partir d'une bascule R S H dont les
sorties sont reboucles sur les entres. Ceci permet d'liminer l'tat indtermin ( voir
figure 6.13 ).
R S Q Q
0 0 x
x
0 1 1 0
1 0 0 1
1 1
Interdit
t
t
t
t
S
R
Q
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 37











figure 6.13 bascule JK ralise avec les portes Nand

La bascule JK prsente : (voir figure 6.14 ).

Deux entres J et K ;
Une entre dhorloge H ;
Deux sorties Q et Q dont les tats sont complmentaires ;

H
K
Q
Q
J


Figure 6.14 Symbole dune bascule JK

Fonctionnement dune bascule JK :

Les entres J et K de ce type de bascule ont le mme rle que les entres S et R de la
bascule RSH la diffrence que la condition J = K = 1 nest pas une condition ambigu sur
ltat de Q et /Q.
Ltat J = K = 1 provoque le changement d tat de la sortie Q ou un basculement successif
chaque top dhorloge. Il est utilis dans de nombreux systmes numriques.

Exemple de bascule JK dclenche par front montant : voir figure 6.15











figure 6.15 - Table de vrit et chronogramme de bascule JK dclenche par front montant


&
&
&
&
J
K
H

Q
K J Q Q
0 0 x
x
0 1 1 0
1 0 0 1
1 1
x
x
t
h
t
t
t
J
K
Q
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 38

VI.3.4 Bascule D synchrone :

Une bascule D est ralise partir d'une bascule R S ou J K dont les entres sont relies
par un inverseur. Ceci impose donc que les entres prennent des tats complmentaires.

Ralisation: voir figure 6.16.









figure 6.16 Ralisation de la bascule D

Exemple de bascule D dclenche par front montant : voir figure 6.17









figure 6.17 - Table de vrit et chronogramme de bascule D dclenche par front montant


La table de vrit met bien en vidence que la sortie Q recopie ltat de lentre D sur le
front actif du signal dhorloge, ici le front montant. Ce type de bascule, dclenchement sur
front actif du signal dhorloge, est trs utilis : Compteurs, mmoire tampon,...

VI.3.5 Bascule matre-esclave:

Problme: Les bascules synchrones ncessitent des tats stables sur leurs entres au
moment de la transition du signal d'horloge, cela n'est pas toujours possible lorsque
plusieurs bascules sont cbles entre elles (exemple: en comptage) et l'on a des alas de
fonctionnement ( voir figure 6.18 ).








Figure 6.18 Association de bascules synchrones

Q
Q D Q
Q
1 1
S
D
k
J D
R
Q
Q
D Q
0 0
1 1

h
D
Q
t
t
t
Q
H
Q
Q
K
J
K
J
A B

Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 39

Solution: Il existe des bascules 2 tages qui voluent en 2 temps.

1er temps: Verrouillage du 2me tage
Prise en compte des entres par le 1er tage


2me temps Verrouillage du 1er tage
Prise en compte des donnes par le 2me tage

Exemple : bascule J K Matre-Esclave : (voir figure 6.19 )

&
&
&
&
J
K
&
&
H
&
Q
Q
&
&
&
&
&
1


Figure 6.19 - bascule J K Matre-Esclave :

VI.3.6 Bascule T :

La bascule T prsente :

Une entre dhorloge H ;
Deux sorties Q et Q dont les tats sont complmentaires.

H
Q
Q


Figure 6.20 Symbole dune bascule T
Table de fonctionnement :

Entre Sortie
H Qn+1 Qn+1
Modes de fonctionnement de la bascule

Qn Qn Changement dtat

Quand lentre H passe ltat dynamique 1, les sorties changent dtat.
Quand lentre H passe ltat 0, les sorties restent dans leur tat.
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 40

VI.3.7 Initialisation des bascules :

Les bascules RSH, JK et D ont un fonctionnement synchrone par rapport un signal
dhorloge. Leurs entres de commande R, S, J, K et D sont des entres synchrones.
Pour le fonctionnement dun systme, il est souvent ncessaire que les bascules soient
initialises, cest dire que leur sortie Q soit 1 ou 0 et ce indpendamment du signal
dhorloge. Do, deux entres supplmentaires asynchrones, prsentes sur pratiquement
tous les circuits intgrs :

Preset : mise 1 de la sortie Q
Clear : mise 0 de la sortie Q.

Ces deux entres asynchrones sont dsignes entres dinitialisation ou de forage.
Exemple pour la bascule JK :Voir figure 6.21

PRESET

J
Q
H

K /Q


CLEAR

Figure 6.21 - la bascule JK avec les entes de forage

Remarque : La ngation logique sur les deux entres asynchrones PRESET et CLEAR
indique quelles sont actives sur le niveau bas du signal qui leur est appliqu.

Table de vrit (voir figure 6.22 ).


Preset Clear H Q
0 0 X A ne pas utiliser
0 1 X 1
1 0 X 0
1 1 Fonctionnement synchrone de la
bascule

Figure 6.22 Table de vrit de la bascule JK avec les entres de forage

VI.4 Monostable astable

a) Monostable :

Cest une microstructure squentielle, qui en sortie possde deux tats complmentaires
lun de lautre.

Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 41

Lun des deux tats tant stable.
Lautre ne pouvant tre occup que momentanment [tat pseudo-stable].
Une impulsion lentre (c--d changement dtat de 0 1) amne la sortie ltat 1 ,la
sortie reste dans cet tat pendant une dure dfinie par les caractristiques particulires de
loprateur, indpendamment du temps pendant lequel lentre reste ltat 1 puis revient
ltat 0.
1
1
0
1
0
temps
temps
t t
Entre
Sortie

Figure 6.23 - Symbole dun monostable

b) stable ou oscillateur :

Microstructure squentielle qui, en sortie, possde deux tats pseudo-stables
(complmentaire lun de lautre) ; le passage dun tat lautre seffectuant priodiquement
avec un facteur de forme quelconque.
Dans certaines utilisations, les bascules astables peuvent prendre le nom de
multivibrateurs.
G


Figure 6.24 - Symbole dun astable

VII.1 Identification de la fonction :

La fonction comptage existe dans de nombreux systmes dans lesquels le rsultat dun
calcul effectu :
- sur une srie dobjets,
- ou sur la rptition dun vnement
dclenche :
une dcision prise par lutilisateur,
Ou une action gre automatiquement par le systme.

Exemples :
- Un poste de sciage sarrte aprs avoir dbit 20 pices dun mme lot.
- Dans un systme programmation chronologique, le comptage du temps coul permet
de dclencher automatiquement certaines oprations : arrosage dun jardin, mise en
marche dun appareil lectromnager, allumage dun feu de signalisation
VII. Les compteurs :
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 42

Un systme de comptage comprend toujours un compteur.
Un compteur est un systme logique dont le mot binaire en sortie se modifie chaque fois
quune information est applique son entre.
Suivant quune nouvelle impulsion incrmente (n+1) ou dcrmente (n-1) la valeur binaire
du mot de sortie, le circuit fonctionne en compteur ou en dcompteur.

VII.2 Caractristiques des compteurs :

La caractristique principale dun compteur est sa capacit de comptage. Cette capacit de
comptage dtermine le nombre de bits du mot binaire de sortie.

VII.2.1 Compteur Modulo 2 :

Le mot binaire de sortie du compteur le plus simple na quun bit qui ne peut donc prendre
que ltat logique 0 ou 1 lorsque les impulsions compter se succdent sur lentre du
compteur. Le mot de sortie est ltat logique 1 toutes les deux impulsions, le compteur
divise par 2 le nombre des impulsions dentre. Cette caractristique de division est
dsigne par le modulo du compteur qui dans ce cas est 2. La structure dun compteur
modulo 2 est une bascule :

- du type D,
- ou du type JK,

VII.2.2 Compteur Modulo 2
N
:

Un compteur modulo 2 tant constitu dune bascule, il est possible :

- dassocier 2 bascules pour raliser un compteur modulo 4 soit 2
2
,
- dassocier 3 bascules pour raliser un compteur modulo 8 soit 2
3
,

VII.2.3 Compteur dont le modulo est diffrent de 2
N
:

Il est toujours possible de raliser un compteur dont le modulo est diffrent de 2
N
. Il suffit de
forcer sa rinitialisation zro avec le mot de sortie qui suit immdiatement la dernire
impulsion compte.
De plus, les compteurs binaires sont classs en 2 catgories :

- Les compteurs asynchrones,
- Les compteurs synchrones.

VII.2.4 Compteur binaire asynchrone :

Dans ce type de structure, limpulsion de progression du compteur est applique sur
lentre dhorloge du premier tage, les entres dhorloge des autres bascules reoivent le
signal de sortie de ltage prcdent.


Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 43

VII.2.5 Compteur binaire synchrone :

Dans la structure synchrone, lhorloge est la mme pour tous les tages : le basculement
de toutes les bascules se fait en mme temps.

VII.3 Les compteurs asynchrones:
Le terme asynchrone se dfinit comme l'absence de synchronisme des signaux qui
dclenchent la commande d'un circuit. Comme les entres d'horloge remplissent
habituellement cette fonction, les compteurs asynchrones ne possdent pas de ligne
commune qui relie toutes les entres d'horloge des bascules. Par consquent, on branche
le signal d'horloge uniquement la premire bascule. Le signal de sortie de cette premire
bascule sert d'horloge la seconde bascule et ainsi de suite.
Les compteurs asynchrones sont les plus simples concevoir. Toute fois, le dlai de
propagation qu'ils engendrent provoque des imprcisions importantes. On vite donc leur
utilisation pour la mesure prcise du temps.

VII.3.1 Compteur modulo 8 asynchrone :

On ralise ce compteur en branchant en cascade trois bascules JK. La sortie de la
premire bascule devient lentre dhorloge de la deuxime bascule, la sortie de la
deuxime bascule devient lhorloge de la troisime bascule ( voir figure 7.1).











Figure 7.1 - Compteur modulo 8 asynchrone

Table de vrit et chronogramme du Compteur modulo 8 asynchrone voir figure 7.2.













Figure 7.2 - Table de vrit et chronogramme du compteur modulo 8 asynchrone

J Q


K
1
Qc
RaZ
Qa
Q b
h
J Q


K
J Q


K
h
t
Qa
Qc
Qb
t
t
t
N Qc Qb Qa
0 0 0 0
1 0 0 1
2 0 1 0
3 0 1 1
4 1 0 0
5 1 0 1
6 1 1 0
7 1 1 1
1 2 3 4 5 6 7 0
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 44

VII.3.2 Compteur modulo 10 asynchrone ( compteur DCB) :

Un compteur modulo 10 possde dix tats, qui correspondent aux quivalents binaires des
nombres de 0 9. Sa ralisation ncessite lutilisation de quatre bascules. De mme il peut
diviser la frquence dente par dix. Un compteur DCB dnombre les tats de (0000)
2

(1001)
2
. Il est trs rpandu, car il tablit le lien avec les dispositifs daffichage numriques
qui permettent de reprsenter les nombres dcimaux de 0 9 par lintermdiaire du code
DCB. Il suffit de raccorder la sortie des bascules un dcodeur DCB qui pilote un afficheur
sept segments. ( voir figure 7.3 et 7.4)


Figure 7.3 - Compteur modulo-10 asynchrone

Figure 7.4 Dcodeur 7447 et afficheur anode commune

Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 45


VII.3.3 Dcompteur modulo 8 asynchrone :

Pour obtenir un dcompteur, il faut regarder les sorties Qi ou brancher les sorties Qi de
chaque bascule sur lhorloge de la bascule suivante et regarder lvolution des sorties Q
i
,
voir figure 7.5










Figure 7.5 - dcompteur modulo 8 asynchrone

Table de vrit et chronogramme du dcompteur modulo 8 asynchrone voir figure 7.6.












Figure 7.6 - Table de vrit et chronogramme du dcompteur modulo 8 asynchrone

VII.4 Les compteurs synchrones:

VII.4.1 Compteur modulo 8 synchrone:

L'impulsion d'horloge est applique simultanment chaque bascule. Celles-ci voluent en
fonction des informations prsentent sur leurs entres J, K au moment ou apparat
l'impulsion. Il faut donc prpositionner J et K l'instant t pour obtenir le basculement dsir
l'instant t+1 voir figure 7.7








Figure 7.7 la table de vrit de la bascule JK entre ltat n et n+1

N Qc Qb Qa
7 1 1 1
6 1 1 0
5 1 0 1
4 1 0 0
3 0 1 1
2 0 1 0
1 0 0 1
0 0 0 0
h
t
Qa
Qc
Qb
t
t
t



7 6 5 0 1 4 3 2
1
Qc
RaZ
Qa Qb
h
J Q


K
J Q


K
J Q


K
J K Q
0 0 Q
0 1 0
1 0 1
1 1 Q
Qn Q n+1
0 1
1 0
1 1
0 0
J K
1 x
x 1
x 0
0 x
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 46

Si QC, QB, QA, sont les sorties de trois bascules on a la table de vrit suivante:














Figure 7.8 la table de vrit du Compteur modulo 8 synchrone

Equations des entres J et K :

On peut obtenir ces quations en utilisant le tableau de KARNAUGH

JA = KA = 1
JB = KB = Qa
JC = KC = Qa . Qb

Schma: ( voir figure 7.9)











Figure 7.9 - Compteur modulo 8 synchrone

VII.4.2 Compteur DCB (modulo 10) synchrone:

Par le mme raisonnement on peut obtenir le compteur modulo 10 synchrone ( figure 7.10)







N Qc Qb Qa Jc Kc Jb Kb Ja Ka
0 0 0 0 0 x 0 x 1 x
1 0 0 1 0 x 1 x x 1
2 0 1 0 0 x x 0 1 x
3 0 1 1 1 x x 1 x 1
4 1 0 0 x 0 0 x 1 x
5 1 0 1 x 0 1 x x 1
6 1 1 0 x 0 x 0 1 x
7 1 1 1 x 1 x 1 x 1
J Q


K
1
Qc Qa Qb
h
a
&
c b
J Q


K
J Q


K
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 47
























Figure 7.10 - Compteur modulo 10 synchrone

VII.4.3 Dcompteur modulo 8 synchrone:

Par le mme raisonnement on peut obtenir voir figure 7.11













Figure 7.11 la table de vrit du dcompteur modulo 8 synchrone

Equations des entres J et K :
On peut obtenir ces quations en utilisant le tableau de KARNAUGH
JA = KA = 1.
JB = KB = Qa
JC = KC = Qa . Qb
N Qc Qb Qa Jc Kc Jb Kb Ja Ka
7 1 1 1 x 0 x 0 x 1
6 1 1 0 x 0 x 1 1 x
5 1 0 1 x 0 0 x x 1
4 1 0 0 x 1 1 x 1 x
3 0 1 1 0 x x 0 x 1
2 0 1 0 0 x x 1 1 x
1 0 0 1 0 x 0 x x 1
0 0 0 0 1 x 1 x 1 x
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 48

Schma: ( voir figure 7.12 )










Figure 7.12 - Dcompteur modulo 8 synchrone

VII.5 Les compteurs intgrs:

On prend comme exemple le circuit intgr 7490. Ce compteur peut servir de diviseur
dcade (diviseur de frquence par dix) symtrique, de compteur MODULO, et surtout de
compteur DCB pour les dispositifs daffichage.

Principe de fonctionnement :

Il renferme quatre bascules et un ensemble de porte logique pour crer la squence DCB.
Il possde de plus des entes doubles de remise zro (R0) et der remise neuf ( R9)
asynchrones.
Dautre part, comme le montre la figure 7.13 La bascule A na pas de connexion interne
avec les trois autres bascules. Il faut alors raccorder les deux sections de bascules selon la
fonction raliser



Figure 7.13 Compteur 7490
1
Qc Qa Qb
h
a
&
c b
J Q


K
J Q


K
J Q


K
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 49



Figure 7.13 Compteur 7490 (suite)

a) Diviseur par dix symtrique :

Les diviseurs dcade par dix nont pas une onde de sortie symtrique. Le C I 7490 permet
de corriger cette situation.
On sait que quun diviseur par deux est symtrique. Il devient possible de produire cette
symtrie avec le C I 7490 en inversant simplement lordre de succession des bascules ( voir
figure 7.14 )







Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 50



Figure 7.14 Diviseur par dix symtrique

En branchant la sortie D lentre de la bascule A, on inverse lordre du diviseur, qui
effectue dabord la division par cinq et ensuite la division par deux. Le contenu des donnes
binaires devient ( ADCB)
2
permettant ainsi au signal de la sortie A dtre symtrique.

b) Compteur MODULO-N :

On ralise, des compteurs MODULO asynchrone de manire identique celle vue
prcdemment. Par contre, on fait la remise zro sans avoir recours dautres portes
logiques externes en tirant profit de la porte NON-ET lintrieur du C I 7490.
Selon lexemple du compteur MODULO-6 de la figure 7.15 on dcode ltat intermdiaire
(0110)
2
pour connecter ce signal lentre de remise zro asynchrone (R0) du compteur.
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 51


Figure 7.15 compteur modulo-6

c) compteur DCB (modulo10) :

Pour raliser un compteur DCB, il suffit simplement de coupler le diviseur par deux avec le
diviseur par cinq ( voir figure 7.16)


Figure 7.16 compteur DCB (modulo10)
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 52

d) Compteur en cascade :

Si lon analyse la squence des nombres binaires dun compteur DCB deux chiffres
( MODULO-100), on saperoit que le chiffre des dizaines doit sincrmenter au moment ou
les units passent de 9 0. Si lon utilise la sortie D des units comme signal dhorloge
pour le compteur des dizaines, le chiffre des dizaines sincrmentera au bon moment en
accord avec le signal dhorloge suivant. La figure 7.17 prsente le branchement dun
compteur deux chiffres.



Figure 7.17 compteur modulo-100

Un registre est un circuit permettant denregistrer provisoirement un mot binaire en vue
de son transfert ultrieur dans un autre circuit (pour traitement, stockage, affichage,).

Un registre mmorise un mot binaire de n bits, il est constitu de n mmoires lmentaires
qui sont les bascules (voir figure 8.1 ).

VIII.1 Types de registres :

(Pour 4 bits) :(voir figure 8.1 )








VIII. Les registres:
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 53

Q
3
Q Q
1
0
2
Q
Entre
srie
Horloge
Sortie
srie


Ecriture srie lecture srie (SISO)

Q
3
Q Q
1
0
2
Q
Entre
srie
Horloge Sorties
parallles


Ecriture srie lecture parallle (SIPO)

Q
3
Q Q
1
0
2
Q
Entres
parallles
Horloge
Sortie
srie


Ecriture parallle lecture srie (PISO)


Q
3
Q Q
1
0
2
Q
Entres
parallles
Horloge
Sorties
parallles


Ecriture parallle lecture parallle (PIPO)

Figure 8.1 Les types de registres

VIII.2 Registres dcalage :

Exemple de registre dcalage ralis avec les bascules : SISO
Ce registre dcalage consiste en un assemblage de bascules commandes par une
horloge commune. Linformation est dcale en srie dune extrmit lautre du registre,
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 54

de sorte que le transfert seffectue sous la forme dcriture srie-lecture srie. De ce fait, le
registre dcalage comprend gnralement une seule entre de donnes et une seule
sortie en plus de lentre dhorloge ( voir figure 8.2 )

H
Q D Q D Q D Q D
S
E
A
D C
B


Figure 8.2 - Registre dcalage SISO quatre bits ralis avec quatre bascules D

Au commandement de lhorloge, la donne introduite dans la premire bascule se propage
dans les bascules suivantes. Ainsi la bascule C acquiert ltat prcdent de la bascule B,
alors que la bascule B acquiert ltat de la bascule A, qui son tour, acquiert ltat de
lente au moment o limpulsion dhorloge se produit. Le bit disponible la sortie doit tre
trait sinon il est perdu. La figure 8.3 schmatise le fonctionnement dun registre dcalage
quatre bascules D quand on introduit les donnes (0110)
2
lentre dun registre
contenant initialement linformation (1011)
2
.



Figure 8.3 Dcalage des donnes dans un Registre dcalage quatre bits

A la lumire de cet exemple, on peut conclure la lenteur dun registre dcalage srie.
Toutefois, comme toute linformation circule sur un seul fil, ce systme rduit au minimum le
nombre de lignes ncessaires la transmission. Il devient donc trs avantageux dans le
cas des parcours longue distance. Au contraire la transmission en parallle ncessite
autant de lignes que de bits transmettre. On privilgie donc la rapidit des systmes en
parallle surtout dans les applications courte distance, comme le transfert dinformations
dun ordinateur une imprimante.

Recirculation :

Dans un registre dcalage, le bit prsent la sortie nest plus mmoris et cela devient
parfois un inconvnient. Dans certains situations on veut lire le contenu du registre tout en
le prservant. Il devient possible de le faire laide dune contre-raction en renvoyant la
sortie dun registre dcalage vers lentre. Cette option demande cependant lajout dune
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 55

entre MODE pour commander soit la recirculation des donnes ( mode = 1), soit
lenregistrement de nouvelles donnes en srie ( mode = 0). La figure 8.4 montre ces deux
situations.


Figure 8.4 Recirculation des donnes

Dcalage gauche- droite :

Le registre dcalage quon vient de voir ralise la fonction standard de dcalage des
donnes vers la droite. Toutefois, certaines applications, comme les oprations
mathmatiques, exigent un dcalage gauche ou droite lintrieur du registre selon la
commande dun signal externe. A linstar de la recirculation, il est possible de raliser cette
fonction par lentremise dune combinaison de portes logiques. Cette fois lentre de
commande ragira de la manire suivante :

Mode = 0 dcalage droite
Mode = 1 dcalage gauche
0
VIII.3 Registres intgrs :

Il existe, dans la famille TTL, deux registres dcalage de 4 bits qualifis duniversels. Il
sagit des C I 7495 et 74194. Ils consistent en quatre bascules S-R dont les entres sont
sollicites par des portes qui ralisent une fonction logique dpendante du raccordement
externe. Il devient essentiel danalyser la table de vrit de chacun de ces composants pour
connatre leurs conditions de mise en marche en srie ou en parallle.


Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 56

a) Circuit intgr 7495 :

La figure 8.5 montre dabord le symbole et lemplacement des bornes du composant. Vous
y retrouverez aussi le diagramme fonctionnel en plus de la table de vrit qui dicte son
comportement. Remarquez la prsence de deux entes horloge. Elles sont relies avec la
borne mode control permettant de slectionner un fonctionnement en srie ou en
parallle.


Figure 8.5 C I 7495

Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 57

Comme le transfert en parallle est plus rapide que le transfert en srie, le C I 7495 offre la
possibilit dutiliser une frquence dhorloge plus leve pour le mode parallle (clock2) et
une frquence plus faible pour le mode srie (clock1). Le signal dhorloge peut aussi tre
branch en commun avec les entres pour osciller la mme frquence indpendamment
du mode de fonctionnement. Voici lessentiel de lanalyse de la table de vrit.

- Si lentre mode control = 0 :

Lente srie devient active, permettant un bit dtre charg ;
Lhorloge 1 commande les bascules ;
Le registre ralise lopration standard de dcalage droite ;
La sortie D fait office de sortie srie.


- Si lentre mode control = 1 :

Les entes parallles deviennent actives, permettant un mot de 4 bits dtre
charg ;
Lhorloge 2 commande les bascules ;
Le registre mmorise le mot et le rend disponible sur les sorties parallles ;
Le dcalage gauche seffectue seulement si lon connecte Q
B
A, Q
C
B et
Q
D
C ; lente srie devient D.

b) Circuit intgr 74194 :

Le registre dcalage 74194 ( voir figure 8.6 ) compte quelques amliorations. Il peut
facilement fonctionner dans tous les modes de transfert. En outre, il possde deux entes
permettant la slection du mode de fonctionnement ( S0 et S1). Il possde aussi des
bornes dentre-sortie distinctes pour le dcalage gauche (L) et droite (R). Il renferme
galement une entre asynchrone pour la remise zro (CLEAR) automatique, une lacune
dans le cas du C.I.7495. On utilise frquemment le C.I. 74194 pour effectuer la conversion
des donnes de srie parallle ou de parallle srie.







Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 58


Figure 8.6 C I 74194

Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 59

On peut numrer aussi dautres circuits intgrs de la famille TTL comme :

7491 pour criture srie-lecture srie ;
74164 pour criture srie-lecture parallle;
74165 et 74166 pour criture parallle lecture srie;
74178 et 74195 pour criture parallle lecture parallle;

IX. Le codeur et le dcodeur :

a) Le codeur :

C'est un circuit N entres dont une seulement est active et qui dlivre sur n sorties (en
code binaire ou autre) le numro de l'entre.

Exemple :
On prend comme exemple le codeur 74148 (voir figure 9.1). Cette lment dcode 8 lignes
d'entre en 3 lignes de sortie (code binaire). Pour le montage en cascade de plusieurs
lments, la sortie E0 du premier lment est relie lentre EI du deuxime lment et
ainsi de suite. Il n'est pas ncessaire d'utiliser des lments supplmentaires.
Lorsque toutes les lignes d'entre se trouvent au niveau H, les sorties ont galement le
niveau H. En tat actif, tous les niveaux d'entre et de sortie sont l'tat bas.


Figure 9.1 - Caractristiques du C I 74148.
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 60

b) Le dcodeur.

Le dcodage consiste slectionner une sortie ou un groupe de sortie en fonction dun
code dentre. On dit que le code dentre identifie ladresse de la sortie.

Exemple :Le C I 74138 (voir figure 9.2)

Ces circuits haute performance sont des dcodeurs de trois lignes en huit lignes. Ce
dcodeur est en fonction seulement lorsque les entres 0 2 2 = = B G A G et que G1 = 1 .
Autrement les sorties du dcodeur sont paralyses, peu importe le code dentre. Ces
entres de validation rduisent l'emploi de portes externes et d'inverseurs.




Figure 9.2 - Caractristiques du C I 74138.

Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 61


X.1 Le dcodeur pilote daffichage :

Plusieurs dispositifs de dcodage servent la reprsentation de donnes l'aide d'un
afficheur dcimal. C'est le cas des afficheurs sept segments.

X.1.1 Afficheur DCB sept segments :

L'appellation sept segments provient de l'agencement de sept DEL de manire
pouvoir afficher les dix chiffres de 0 jusqu' 9.

La particularit des dcodeurs pilotes daffichage vient du fait que, pour un code d'entre
distinct, plusieurs sorties deviennent actives simultanment afin d'afficher les diffrents
caractres. Un dcodeur pilote d'affichage est donc conu pour tre reli directement un
afficheur. La figure 10.1 montre la disposition des segments dun afficheur ainsi que les
seize combinaisons possibles obtenues avec la plupart des dcodeurs.



Figure 10.1 Identification et disposition des segments

La figure 10.2 montre le raccordement d'un afficheur ainsi que la table de vrit du
dcodeur. Comme le dcodeur 7447 est dot de sorties vraies au niveau BAS, les 0
prsents aux sorties de la table de vrit se traduisent par des segments allums. De plus,
l'anode de chacun des segments est relie une borne commune connecte
l'alimentation V cc. On dcrit cet afficheur DEL comme tant anode commune.

X. Les afficheurs :
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 62



Figure 10.2 Raccordement dun afficheur anode commune

Il existe aussi des afficheurs DEL cathode commune. La figure 10.3 montre le
raccordement interne des DEL de chacun des types d'afficheurs. Pour piloter un afficheur
cathode commune, le dcodeur doit tre dot de sorties vraies au niveau HAUT, car la
borne commune de l'afficheur se relie la masse du circuit.



Figure 10.3 Les types dafficheurs

Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 63

X.1.2 Afficheur cristaux liquides LCD :

voir figure 10.4

Ce type dafficheur nmet aucune lumire en labsence de source lumineuse extrieure.
Ce type dafficheur est plus adapt la technologie CMOS.

a
f
b
g
e
c
d
ACL
4070 B
4511 B
D
C
B
A
a
b
c
d
e
f
g
Pilote/
Dcodeur
DCB -
7 segments
5 V
0
40 Hz
Plaque
arrire


Figure 10.4 Lafficheur cristaux liquides LCD

XI.1 Principe dun multiplexeur

Un multiplexeur agit comme un interrupteur ples multiples. Il slectionne une des
entres pour la faire apparatre la sortie. C'est la raison pour laquelle on l'appelle parfois
slecteur de donnes. L'aiguillage de l'entre des donnes s'effectue par des lignes de
slection qui rpondent un code binaire. La figure 11.1 montre un multiplexeur huit
entres. Le code d'entre appliqu sur les lignes de slection C B A dtermine l'entre qui
sera transmise la sortie.
La table de vrit de la figure 11.1 prouve la transparence d'un multiplexeur lorsqu'une
entre est active. Peu importe le contenu de l'entre slectionne, l'information apparat
intgralement la sortie. Dans ce cas-ci, on est en mesure de multiplexer des donnes
binaires.
XI. Multiplexeurs
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 64




Figure 11.1 Multiplexeur huit entres

Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 65

XI.2 Affichage multiplex :

La majorit des afficheurs multiplexs fonctionnent selon le principe du temps partag,
c'est--dire que tous les afficheurs sont activs squentiellement dans le but d'conomiser
de l'nergie. Grce cette technique, un seul dcodeur sert pour tous les afficheurs. Ceux-
ci s'allument un la fois une vitesse suffisante pour liminer les oscillations lumineuses.
Pour lil humain, l'affichage parat continuellement allum, car la vitesse de commutation
est habituellement suprieure 1 kHz.
la figure 11.2 le slecteur d'affichage dtermine l'afficheur qui sera allum, tandis que le
dcodeur DCB sept segments transmet le chiffre qui sera affich. Supposez que
linterrupteur 1 soit ferm; le chiffre dfini par le dcodeur sera affich en position 1 pour un
court laps de temps. Le slecteur de position passera ensuite la position suivante; le
chiffre en position 1 s'teindra pour permettre l'alimentation du chiffre en position 2. La
squence d'alimentation se poursuivra jusqu'au dernier chiffre puis recommencera partir
du dbut.



Figure 11.2 Principe daffichage multiplex

La figure 11.3 montre le diagramme bloc d'un circuit d'affichage multiplex quatre chiffres.
Notez la prsence d'un seul dcodeur DCB pour les quatre afficheurs. Le compteur 2 bits
sert dicter la squence d'allumage pour permettre l'alimentation de chacun des afficheurs
tour de rle. Le chronogramme dcrit prcisment ce qui se passe chacune des tapes.
Le multiplexeur fournit le contenu afficher selon le chiffre slectionn.
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 66



Figure 11.3 diagramme bloc

Exemple dun multiplexeur :

La figure 11.4 montre le composant 74153. Ce circuit comporte deux
slecteurs/multiplexeurs de donnes avec chacun un dcodage binaire permettant de
slectionner une ligne de donnes entre quatre. Il aune entre de commande de strobe
pour chaque multiplexeur, qui doit tre au niveau bas pour valider le circuit; un niveau haut
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 67

du strobe force la sortie y correspondante au niveau bas. La table de vrit prcise le
fonctionnement.



Figure 11.4 - Caractristiques du 74153.










Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 68











MODULE N 22:










GUIDE DE TRAVAUX PRATIQUES
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 69

Exercices :

Exercices 1 :

Complter le tableau suivant


Symbole


Dsignation

H
Q
Q
D




S
R
Q
Q




S
H
R
Q
Q




S
H
R
Q
Q




H
K
Q
Q
J




Exercice2 :

Reconnatre les composants logiques du tableau suivant daprs leur table de
fonctionnement

Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 70

Table de fonctionnement Composant logique correspondant

Entres Sorties
S R Q
Q
0 0 Inchang
0 1 0 1
1 0 1 0
1 1 Ambiguit


Entres Sorties
H S R Q
Q
ou

0 0 Inchang
ou

0 1 0 1
ou

1 0 1 0
ou

1 1 Ambiguit




Entres Sorties
H J K 1 + n Q
1 + n
Q

0 0 n Q
n Q

0 1 0 1

1 0 1 0

1 1
n Q
n Q



Entres Entres
H D 1 + n Q
1 + n
Q

0 0 1

1 1 0







Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 71

Exercice 3:

On demande :
1) Danalyser le GRAFCET niveau 1 suivant
2) dtablir le GRAFCET niveau 2 correspondant

1
4
2
Depart cycle et conditions
initiales
Dbut perage
3
Avec dbourrage
1
Fin debourrage
Approche
rapide
Perage
Debourrage
Perage 5
Fin de perage
Remonte
rapide
6
Foret en position initiale
Fin de perage sans
dbourrage
Conditions initiales:
- broche en rotation
- broche releve


3) Donner les quations correspondant aux tapes.






Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 72


1) Objectif vis :

Vrifier le comportement des bascules et approfondir lanalyse de leur fonctionnement.

2) Dure du TP :

3 Heures.

3) Matriel requis :
- Circuits intgrs de la famille 74 : 7400,7402,7405 et 74LS76;
- Boutons-poussoirs N.O. (2);
- Diodes lectroluminescentes (3);
- Rsistances de 1/2 W (5) : 200 (3) et 1 k (2);
- Fiches techniques des circuits intgrs.

4) Description du TP :
Dans cet exercice, vous procderez la vrification de la table de vrit de quelques bascules.
Vous aurez solliciter les entres synchrones et asynchrones et dterminer leur effet sur ltat
de la bascule.

5) Droulement du TP :
1. Faites d'abord la lecture complte des diverses tapes de cet exercice.
2. l'aide des fiches techniques des composants, procdez d'abord la numrotation des
bornes des circuits selon les composants mis votre disposition. Ralisez ensuite le
montage du circuit de la figure suivante :

Bascule R S en porte NON - ET
TP.1 Essai des bascules lmentaires :
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 73

3. l'aide des interrupteurs logiques, simulez les entres synchrones S et R correspondant
chacune des tapes de la table de vrit afin de vrifier l'tat de la bascule. Inscrivez le
rsultat des sorties.

4. Lorsque 1 = = R S , que pouvez-vous conclure sur ltat de la bascule par rapport ltat
prcdent?

5. Modifiez votre montage afin d'obtenir le circuit de la figure suivante. Rptez l'tape 3 pour
dterminer vos rsultats.

Bascule R S en porte NON - OU

6. Dcrivez en quelques mots la diffrence entre les deux montages prcdents selon leur
table de vrit.

7. Ralisez le montage de la figure suivante en appliquant un signal d'horloge commande
manuelle.


Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 74



Circuit dune bascule J k

8. Vrifiez le fonctionnement asynchrone de la bascule (tape 1 de la table de vrit). Sans
actionner lhorloge, faites varier les entres Jet K votre gr. Notez vos rsultats dans la
table de vrit. Est-ce que les entres Jet K affectent le fonctionnement asynchrone de la
bascule?

9. Indiquez le rsultat des tapes 2 5 de la table de vrit

10. Appliquez des signaux d'entre pour faire scintiller la DEL de la sortie Q. Actionnez le
bouton-poussoir de remise zro et portez vos rsultats ltape 6 de la table de vrit.

11. Appliquez des signaux d'entre pour faire scintiller la DEL de la sortie Q. Actionnez le
bouton-poussoir de remise un et portez vos rsultats l'tape 7 de la table de vrit.

Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 75

12. Les entres PR et CLR sont-elles prioritaires sur celle de l 'horloge?

13. Ralisez le circuit de la figure suivante en appliquant un signal dhorloge de l Hz la
premire bascule Observez le comportement des DEL et compltez le chronogramme de Q1
et de Q2.


14. quoi sert le bouton-poussoir?

15. Faites vrifier vos rsultats.


Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 76


1) Objectif vis :

Vrifier le fonctionnement et les caractristiques des circuits de registres dcalage.

2) Dure du TP :

3 Heures.

3) Matriel requis :

- Circuits intgrs de la famille 74 : 7404,7405, 74LS76 (2) et 7495;
- Bouton-poussoir N.O.;
- Diodes lectroluminescentes (4);
- Rsistances de 1/2 W (5) : 200 (4) et 1 k ;
- Fiches techniques des circuits intgrs.

4) Description du TP :

Dans cet exercice, vous raliserez un registre dcalage l'aide de composants discrets. Vous
pourrez aussi vrifier Le fonctionnement des registres dcalage universels comme le 7495.

5) Droulement du TP :

1. Faites d'abord la lecture complte des diverses tapes de cet exercice.
2. l'aide des fiches techniques des composants, procdez d'abord la numrotation des
bornes des circuits selon les composants mis votre disposition. Ralisez ensuite le
montage du circuit de la figure suivante.

registres dcalage composants discrets
TP.2 Essai des registres dcalage:
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 77

3. Remettez d'abord le contenu du registre zro. Placez l'entre srie l'tat logique 1 et
transfrez les donnes l'aide de quatre impulsions d'horloge. Notez le contenu du registre.
DCBA = .

4. Placez l'entre srie l'tat logique 0 et transfrez les donnes l'aide de quatre impulsions
d'horloge. Notez le contenu du registre DCBA = .

5. Manipulez lentre srie et lhorloge de manire charger la valeur DCBA = 1010 en tenant
compte du fait que le bit A possde le poids le moins significatif.

6. Faites vrifier vos rsultats.

7. Ralisez le circuit de la figure suivante :


Registre universel 7495.

8. Placez lentre mode 1 pour valider le fonctionnement en parallle. Placez les entres de
donnes parallles 0 et transfrez linformation laide dune impulsion dhorloge. Notez le
contenu du registre DCBA = ..

9. Maintenez lentre mode 1.Placez les entes de donnes parallles 1 et transfrez
linformation laide dune impulsion dhorloge. Notez le contenu du registre DCBA = ..

10. Placez maintenant lente mode 0 pour valider le fonctionnement en srie. Donnez quatre
impulsions dhorloge et notez le contenu du registre DCBA =

Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 78


1) Objectif vis :

Vrifier le fonctionnement et les caractristiques des circuits de comptage 3 bits

2) Dure du TP :

3 Heures.

3) Matriel requis :

- Circuits intgrs de la famille 74 : ,7405 et 74LS76 (2);
- Diodes lectroluminescentes (3);
- Rsistances de 200 , 1/2 W (3);
- Fiches techniques des circuits intgrs.

4) Description du TP :

Dans cet exercice, vous raliserez un compteur lmentaire 3 bits l'aide de composants
discrets. Vous devrez modifier votre circuit pour permettre le comptage et le dcomptage des
vnements

5) Droulement du TP :

1. Faites d'abord la lecture complte des diverses tapes de cet exercice.
2. l'aide des fiches techniques des composants, procdez d'abord la numrotation des
bornes des circuits selon les composants mis votre disposition. Ralisez ensuite le
montage du circuit de la figure suivante :

Compteur binaire trois bits

TP.3 Essai des compteurs asynchrones:
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 79

Remarque :
Les entres J = 1 et K = 1 peuvent tre laisses flottantes, mais il est prfrable de toujours les
raccorder au potentiel positif de la source. Il est toutefois essentiel de raccorder les entres
asynchrones Preset et Clear..
3. Remettez dabord le contenu du compteur zro. Toutes les DEL doivent tre teintes.
4. Raccordez l'entre horloge du compteur une horloge de frquence de 1 Hz. Notez les
valeurs obtenues dans le tableau suivant. Les DEL sont montes dans un ordre ascendant
(du bit du poids le plus fort au bit du poids le plus faible)

C B A
0
1
2
3
4
5
6
7

tableau des rsultats pour le compteur

5. Selon les rsultats que vous avez nots dans le tableau quel genre de comptage ce montage
accomplit-il ?
6. Modifiez votre montage pour le rendre conforme au circuit de la figure suivante :


Dcompteur binaire trois bits
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 80

7. Remettez dabord le contenu du compteur un. Toutefois les DEL doivent tre allumes.

8. Raccordez l'entre du compteur une horloge de frquence de 1Hz et notez les valeurs
obtenues dans le tableau suivant :

C B A Valeur dcimale









Tableau des rsultats du dcompteur

9. Selon les rsultats que vous avez nots dans le tableau quel genre de comptage ce montage
accomplit-il?

Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 81


1) Objectif vis :

Vrifier le comportement des compteurs synchrones et approfondir lanalyse de leur
fonctionnement

2) Dure du TP :

3 Heures.

3) Matriel requis :

- Circuits intgrs de la famille 74 : 7408 et 74LS76;
- Fiches techniques des circuits intgrs ;
- Circuit daffichage sept segments ;

4) Description du TP :

Dans cet exercice, vous tracerez et monterez des circuits de comptage synchrones afin de
procder la vrification de leur squence doprations. Vous raccorderez vos circuits un
dispositif daffichage sept segments.

5) Droulement du TP

1. Faites d'abord la lecture complte des diverses tapes de cet exercice.

2. Ralisez le montage du compteur MODULO-5 de la figure suivante en n'oubliant pas de
brancher les entres asynchrones. Utilisez un signal d'horloge de 1 Hz. Reliez les sorties A,
B et C votre circuit d'affichage sept segments. Prenez soin de forcer l'entre D du
dcodeur un niveau logique BAS pour respecter le compte de O 4.
3. Faites l'essai du compteur MODULO-5 synchrone. numrez la squence de nombres
obtenue.

4. Dbranchez lentre D du dcodeur et laissez-la flottante. numrez la squence de
nombres obtenue. Est-ce encore un compteur MODULO-5?

TP.4 Essai des compteurs synchrones:
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 82



Compteur MODULO-5 synchrone

5. Modifier votre montage afin dobtenir le compteur DCB. Brancher cette fois lentre D du
dcodeur au circuit de comptage. Vrifier le fonctionnement du circuit
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 83





Compteur modulo-10 synchrone










Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 84


1) Objectif vis :

Vrifier le comportement des compteurs intgrs et leur fonctionnement

2) Dure du TP :

3 Heures.

3) Matriel requis :

- Circuits intgrs de la famille 74 : 7490 ;
- Fiches techniques des circuits intgrs ;
- Circuit daffichage sept segments ;

4) Description du TP :

Dans cet exercice, vous monterez diffrents circuits de comptage laide de compteurs
intgrs 7490. Vous aurez loccasion de vrifier leur polyvalence en ralisant des compteurs
MODULO, des diviseurs de frquence, un circuit de comptage deux chiffres.

5) Droulement du TP

1. A laide du compteur asynchrone 7490, raliser le montage du circuit diviseur par dix
symtriques de la figure suivante :


TP.5 Essai des compteurs intgrs :
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 85

2. Par quel facteur le compteur divise-t-il la frquence dentre ?

3. Modifier le montage pour obtenir un compteur DCB. Relier les sorties du compteur votre
circuit daffichage 7 segments et vrifier le fonctionnement laide dun signal dhorloge de
1Hz.


compteur DCB (modulo10)

4. Modifier le montage pour obtenir un compteur modulo-6 et vrifier le fonctionnement

compteur modulo-6
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 86


5. En utilisant deux compteurs 7490 raliser un compteur modulo-100




compteur modulo-100
















Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 87


1) Objectif vis :

Vrifier le comportement des dcodeurs et approfondir l'analyse de leur fonctionnement.

2) Dure du TP :

2 Heures.

3) Matriel requis :

- Circuits intgrs de la famille 74 : 7420,7442 ;
- Diodes lectroluminescentes (7);
- Rsistances de 1/2 W (3) : 200
- Fiches techniques des composants.

4) Description du TP :

Dans cet exercice, vous devrez faire la preuve qu'un dcodeur sert parfois simplifier le
montage dune fonction logique.

5) Droulement du TP

1. A laide des variables M,N, P et Q, crivez lquation logique de la fonction Y dcrite par
la table de vrit suivante:




2. En vous servant de portes NON-ET quatre entres (TTL 7420) et d'inverseurs (TTL
7404), tracez l'quivalent du schma de montage de l'quation Y.

TP.6 Ralisation de dcodeurs logiques
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 88

3. Combien de circuits intgrs sont-ils ncessaires pour raliser cette fonction uniquement
l'aide de portes logiques?


Nombre de botiers 7404 =
Nombre de botiers 7420 =

4. Dans ce qui suit, tracez le schma de montage complet du circuit avec le dcodeur 7442
en ajoutant le circuit d'une DEL comme dispositif de sortie. Numrotez les bornes selon
les fiches techniques des composants et montez le circuit.


5. Faites varier ltat des interrupteurs logiques du code dentre et vrifiez le
fonctionnement du montage en accord avec la table de vrit. Un dcodeur permet-il de
raliser des fonctions logiques complexes ?










Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 89



1) Dure :3 h.

Compteur-dcodeur et affichage numrique :

2) Comptence vise :

Monter un circuit dont la fonction est de compter puis dafficher le rsultat du compte en utilisant
un dcodeur intgr 74LS47 (interface un affichage 7 segments sur un compteur).

3) Dmarches suivre :

1. Monter le circuit de la figure suivante :


A
3
B
4
C
5
D
6
ENP
7
ENT
10
CLK
2
LOAD
9
CLR
1
Q
13
12
11
RCO
15
74LS160 74LS47
A
B
D
E
G
C
R
R
R
R
R
R
R
MAN71A
13
11
10
14
+Vcc
0V
12
15
9
+Vcc 0V
S1
S2
S3
S4
S5
S6
Horloge
Signal carr
5 Volts
Resistances
de limitation :
330 Ohms
14 7
1
2
6
4
5
3
Vcc : Broche 16
GND : Broche 8
Vcc : Broche 16
GND : Broche 8
F
A
Q
B
Q
C
Q
D
1
A
B
C
D
E
F
G
2
4
8
BI/RBO
RBI
LT


Compteur-dcodeur et affichage numrique






valuation de fin de module :
Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 90

2. Vrifier le fonctionnement de votre circuit numrique en le faisant compter de 0 9 laide
dune horloge basse frquence (utiliser le gnrateur de fonction)


N.B : Noublier pas de choisir les niveaux logiques convenables pour ENP, ENT, LOAD, et
CLR du compteur.

3. Quel est le rle de la broche LT du dcodeur 74LS47 ainsi que son niveau actif ?


4. Pendant que le compteur fonctionne et affiche les nombres de 0 9, que remarquez-vous de
diffrent dans laffichage de la squence lorsque vous placez un niveau 0 sur lentre BI du
dcodeur.




































Rsum de Thorie et
Guide de travaux pratiques
Module 22 : LOGIQUE SEQUENTIELLE


OFPPT/DRIF/CDC_GE 91



Liste des rfrences bibliographiques

Ouvrage Auteur Edition
Equipements et
installations
lectriques
G.Augereau
A.Bianciotto
P.Boyo
Delagrave
Schmas et
tudes
dquipements
G.Augereau
A.Bianciotto
P.Boyo
Delagrave
Catalogue des
principaux circuits
intgrs
Raymond Dreyfuss
Philippe Tixier
Weka
Module 21:
(lectromcanique
de systmes
Automatiss)
Logique
squentielle
Alain Sirois CEMEQ
























Liste bibliographique

You might also like