You are on page 1of 241
STUDIES IN ELECTRICAL AND init ELECTRONIC ENGINEERING 46, AUTOMATIC CONTROL OF CONVERTER-FED DRIVES MARIAN P. KAZMIERKOWSKI and HENRYK TUNIA Institute of Control and Industrial Electronics, Warsaw University of Technology, Warsaw, Poland ELSEVIER Amsterdam-London-New York~Tokyo PWN—POLISH SCIENTIFIC PUBLISHERS Warszawa 1994 Min dJiuS7 83-5 Revised snd enlarged translation from the Polish origina. Aulomaiyka nopedu przeksetatinikowego Published in 1987 by Paristwowe Wydawnictwo Naukowe, Warszawa 4M. P. Kaiméerkowski, Chapters:1, 2, 3, 4, 6.1, 6.22, 6.4, 7.1.7.5, 9, 10, and Appendix H, Tania, Chapters: 5, 6.2.1, 63. 7.2, 7.3, 74, 8, 11 Translated by Jerzy Tomaszesyk Distribution of this book is being handled by the following publishers: Por Albania, Bulgatla, Commonwealth of independent States, Croatia, Cubs, Czech Republic Estonia, Hungary, Korean People's Democratic Republic, Latvia, Lithsanie ‘Mongolia, People's Republic of China, Poland. Romania, Slovakia, Slovenia, Vietnam and Yugtelavie WP Ty POLISH SCIENTIFIC PUBLISHERS PWN ~ Miodowa 10, 00-251 Warszawa, Poland For all remaining areat ELSEVIER SCIENCE PUBLISHERS B.V. Sara Burgertstraat 35 P.O, Bow 311, 1000 AE Amsterdam, The ? Library of Congress Cataloging-in-Publication Data “* rpm ‘Kaémierkowski, Marian P. ry [Automatyla napeda preskestaltnikowego, Baglish} Automatic control of converterfed drives / Marian P. Kaéuierkowskt and Henryk Tani P. om, — (Studies in electrical and electronic-engineering ; 45) Rev. ond cal. translation from the Polish orginal. Includes bibliographical references and index. ISBN 0-444-98600-X 1. Wlectric driving—Avtomatic control. 2, Electric _motors—Automatic control. 3. Electric current converters. I, Tania, Henryk. Il. Tile, TL Series. Teeo58 Kad 1983 e2146—de20 93.0588 ISBN 0-444-98660-X (Vol. 45) Sal ISBN 0-444-41713-3 (Series) ISBN 83-01-11228-x (PWN, Poland) Copyright © by Polish Scientific Publishers PWN Lid. — Warseawa 1904 ‘All rights reserved ‘No patt of this publication may be reproduced, stored in a retrieval system or trans- ‘mitted in any form er by any means, electronic, mechanical, photocopying, recording, ‘or otherwise, without the prior written permission of the copyright owner Printed in Poland ty DN ( ( t f . t ‘ ( ‘ ‘ ‘ < ‘ « ® « ‘ « . _# Contents Tatroduction ©... 2. Te lala Pees F + Chopter 1 Mathematical models of electric motors 4 i Li Introduction © 22 ee o.4 Be 12 Voltage and Sux-current equations 2... 3 1.3 Space vectors and their representations in coordinate systems... 1. eee) Ai elatt a a 13.1 Physical basis |) u q j 13.2 Definitions and basic properties... 0. 13 aid 1.3.3 Space vectors in coordinate systems... iv a 14 Voltage and fux-cuttent equations written in terms of a , space vectors. ee ct) i 15 Transformation of vector equations into a common 2 rotar I 5 ting coordinate system. 22 : 1.6 Referring rotor quantities to the stator circuit |... 24 > 1.7 Instantaneous power and electromagnetic torque. 27> | 1.8 Mechanical motion equation... .. .- ne } 1.9 Complete set of equilibrium equations ...:.,-. 30 ; 1.10 Park's transformation . . 32 4 1111 Relationship between equations based on complex space Q i ‘vectors and the unified theory of electric motors... 33 1.12 Linearization of equilibrium equations. State equations A and transfer functions... : A - 34 : 7 1.13 Per unit system 36 Chapter 2 Three-phase induction motor 1) || 83) i 2.1 Design and basic types. - : : 2.2 Vector equilibrium equations in per unit system . . 38 37 | | CONTENTS: 2.3 Block diagrams... 2 ee ee . 8 2.3.1 Stator-fixed system of coordinates (a, 9,0) - - B 2.3.2 Rotor-fixed system of coordinates (d,q,0) ... 46 2.3.3 Synchronous-rotating system of coordinates (r,y,0) 48 2d State equations... 52 2.4.1 State equations in nonlinear form... ..-. 52 : 2.4.2 State equations in linearized form. .....- 54 2.5 Properties of induction motors in steady states 55 2.5.1 Equivalent cifeults and phasor diagrams... . ° 56 2.5.2 Steady-state characteristics... 2... 62 2.6 Speed control by changing the supply frequency... . 68 Chapter 3 Three-phase ac synchronous motor... . - =~ 270 3.1 Design and major types ©. ee ee . 70 3.2 Equilibrium equations in per unit system... .-- 73 3.3 Block diagrams... - +--+ +s - - 76 3.4 Properties of synchronous motors in steady states... 79 3.4.1 Equivalent circuits and phasor diagrams... . 79 3.4.2 Steady-state characteristics... . 83 BS Speed control. ©. 62 eee eee 8 Chapter 4 Separately excited de motor. 2... += 87 4.1 Design and major parameters... 22... ++ 87 4.2 Equilibrium equations and equivalent circuits... . - 89 ; 4.3 Block diagrams and operator transfer functions... . 92 4.3.1 Per unit equations... . . Ty lee 43.2 General block diagram... 1... - 94 ‘33 Transfer functions with constant ux operation . 95 4.3.4 Transfer functions with variable flux operation . 96 4.3.5 State equations... =. | 98 4.4 Steady-state characteristics... | |aen 4.5 Speed control methods... .. 0. +--+ ve 102 46-1 Control by changing armature voltage |... . 108 4.5.2 Control by flux weakening... ...-..~- 104 45.3 Two-zone control... 2 ee ee 106 CONTENTS Chapter 5 Power converters for motor control . . 5.1 Introduction 2°... . 5.2 Line-commutated rectifiers and inverters | | 5.2.4 Rectifier operation 6.2.2 Commutation 5.2.6 Mathematical model 2... 2. |, 5.2.7 Unwanted output voltage components 5.2.8 Input power factor. . 5.3 Frequency changers 5.3.1 Modulation function. | 5 Equivalent circuit for frequency changer 5.4 Current-sourced inverters : 5.4.1 Principle of operation 5.4.2 Analysis of the commutation process 5.43 System startup... 2... , 5.4.4 Neutralization of selected unwanted components of output current waveform... a 5.5 Voltage-sourced inverters 5.5.1 Principle of operation...) 5.5.2 Output voltage control and reduction of ‘unwanted components 2... : 5.5.3 Impulse-commutated thyristor circuits 5.5.4 Neutral-point-clamped PWM inverter | 5.6 De-to-de converters 5.6.1 One-quadrant voltage-sourced converter. 5.6.2 One-quadraut current-sourced converter 5.6.8 Buck-boost converter»... 5.6.4 Neutralization of unwanted components |. . 5.7 Minimization of the losses of high-frequency power elec- tronic switches... . : VU CONTENTS 5.7.1 Turmon proces 5.7.2 Turn-off process 2. || i 8.7.3 Snubbercirenits 5.7.4 Resonant de-link converter... | 5.7.5 Modulation strategies . 2... Chapter 6 Principles of control system synthesis... 6.1 Introduction ©... Ae le lel eel 6.2 Dynamic optimization of continuous-time closed-loop systems 1... A 6.2.1 Synthesis of conventional control systems 6.2.1.1 Criteria of optimal linear regulator times] | EY i 6.2.1.2 Control system structures 22). 6.2.2 State space syuthesis of control systems . . _ 6.2.2.1 Controllability. and observability of con- trol processes. 6.2.2.2 State feedback control... 6.2.2.3 Observer technique... 2. 6.2.2.4 Structure of optimal state control eys- ‘tome for reference and disturbance signals 6.2.2.5 Cascade state control... 6.3 Digital control systems 2... | a 6.3.1 Introduction ©... 6.3.2 Mathematical representation: Use of Z trans- formation»... 2... te 6.3.3 Design rules for digital controllers... . 6.4 Adaptive control systems 2.2... | Ht Chapter 7 Contro! systems for de motor drives... 2... 7.1 Dynamic properties of speed control systems... . 711 Cascade speed control... 2... 71.1.1 Synthesis of armature current control loop T.1-1.2 Synthesis of angular speed control loop 7.1.2 Direct speed control... 2... 232 232 232 242 244 245 246 250 252 253, 254 250 269 273 273 273 274 279 CONTENTS 7.1.3 Comparison of cascade speed control with direct Speed control structure : 7.14 State feedback 7.14.1 State equati 7.1.4.2 Calculation ficients ‘ons for control system | | | of state vector feedback coef. TLRS Qpetator transfer functious | | 1 1 7 | 7.1.44 Selection of 7.1.5 Concluding remari 72 De drive with line commutated convectee Pole placement . | | x CONTENTS 9.2.1.1 Indirect fx stabilization by voltage con- fo tee 340 9.2.1.2 Indirect fiux stabilization by current con trol. AY TP ald 414 VEL BY Pl eI 342, 9.2.2 Direct flux stabilization . - - - wee BB 9.2.3 Minimum reactive power consumption . . - - - 344. 7 9.2.4 Part-load performance optimization... - - ~ 346 i 9.3 Induction motor operation with non-sinusoidal supply H waveforms ©... tae by ET el bl fal 14 348 | 9.3.1 Operation under voltage-sou 9.3.1.1 Space vector representation of inverter output voltage. 349 9.3.1.2 Harmonic equivalent circuits and bar : monic currents... - + wee BL 0.3.1.3 Electromagnetic torque waveform . . . 355 9.3.1.4 Waveforms under eix-step VST supply . 359 9.3.1.5 Waveforms under voltagesourced PWM : inverter supply ©. 360 9.8.2 Operation under current-sourced inverter supply 367 9.3.2.1 Space-vector representation of inverter i output current. . 367 9.3.2.2 Harmonic currents . . 369 9.3.2.3 Waveforms of flux linkages and the stator ; voltages. eee s 8O 9.3.24 Electromagnetic torque waveform . . . 372 9.3.2.5 Waveforms under six-step CSI supply. 375 9.3.2.6 Waveforms under a current-sourced PWM } inverter supply... 380 | 9.4 Dynamic behaviour of frequency converter-fed induc : | tion motors under different modes of control... . - 382 3 9.4.1 Current-controlled frequency converter . - 382 : 9.4.2 Biock diagram of current-controlled frequency con- | verter-fed induction motor. - |. - + + + . 38E : 9.4.3 Torque control methods... --. +--+ 388 9.4.4 Torque control under constant ux... - - 389 9.4.4.1 Dynamic behaviour under indirect flux stabilization 2. + 889 CONTENTS XI 9.4.4.2 Dynamic behaviour under direct flux sta. viliuation 301, 1 9.4.4.3 Phase relations between rotor flux and stator cuttent vectors: synchronous con- trolmethod ©. 2... 2.2... 392 9.4.4.4 Improving the dynamic properties of 1 torque control by independent command of Hux and torque current components: the field-oriented control method (is,6) 394 9.4.4.5 Concluding remarks... 1... 396 , 9.4.5 Torque control under variable Aux 397 9.4.5.1 Dynamic behaviour under constant slip frequency control. . 2... 897 9.45.2 Dynamic behaviour under constant H torque angle control vee 399 9.4.5.3 Concluding remarks... 2 2... 400, 9.4.6 Voltage-controlled frequency converter... . 401 } 9.4.7 Block diagram of voltage-controlled frequency con- verter-fed induction motor... 2... 2. 402 9.5 OSI-fed drive systems... 2... 1. LL 405, : 9.5.1 Direct field-oriented control... 2.2... 406 ; 9.5:1.1 Basie block scheme - ul 406 9.5.1.2 Current amplitude control loop . . . . 407 9.5.1.3 Torque angle control loop... . . | 408 9.5.2 Indirect field-oriented control... 1)... 410 9.5.3 EMFeoriented control...) 1). a3 9.5.4 Indirect torque angle control... . wee a7 9.5.5 Open loop voltage/frequency control... .. 418 : 9.6 Current-controlled PWM inverter-fed drive systems . | 420 9.6.1 Current control methods for voltage sourced PWM inverters. 2... Men . 2. 420 9.6.1.1 A voltage-sourced PWM inverter as a i control unit of an induction motor current 3 oop TL RU LI) vee 40 ' .2 Three independent hysteresis controllers 422 F 9.6.1.3 Space vector-based hysteresis controllers 424 7 9.6.1.4 Fixed-frequency nonlinear controllers. 428 9.6.1.5 Linear controllers . - 9.6.1.6 Space vector-based intelligent predictive controllers 2.2 9.6.2 Direct field-oriented control ©... 2. ++ 9.6.3 Indirect field-oriented control . . 9.6.3.1 Basic block scheme - -.. +--+ ~ 9.6.32 The effect of parameter changes. - 9.6.3.3 Parameter adaptation . . - - - - 9.6.3.4 Transistor PWM inverter-fed induction motor drive with indirect field-oriented control ATA TAT ET EY fel 4 ft fy 9.6.4 Induction motor servodrives . . - + ~ 9.65 Carrent-controlled de link PWM converter with bi directional power flow 2... 6 ee ee ee Q.7 VSI-fed induction motor drives ©. . - 6 2 2 9.7.1 Direct field-oriented control... --- 9.7.1.1 Basic block scheme... . «+ ~ 9.7.1.2 Voltage decoupler. 2-1. se > 9.7.1.3 Space vector modulator... . - 9.7.2 Indirect field-orionted control. - - - . . - - 9.7.3 Direct’flux and torque control... + 9.7.3.1 Principle of the method... . - - 9.7.3.2 Basic block scheme 44 + EE 9. 3 Control of transistor PWM iaverter-fed 0.7.84 Conteol of thyristor PWM inverter fed drives 2. ee ee oe 9.7.4 Voltage/frequency control . 2... ++ Chapter 10 Frequency-controlled synchronous motor drive systems 1.1 Intyoduction . 2. 10.2 Optimization conditions under steady states 10.2.4 Indirect flux stabilization ang 431 437 437 437 442 445, war 449 449 451, 452 455, 455 461 CONTENTS Xi 10.2.1.2 Indirect Aux stabilization by stator volt- t } agecomtol. ss ses eee an 10.2.2 Minimum reactive power consumption... .. 472 , . 10.3 Open-loop and self-controlled operation ....... 474 i t 10.4 Cycloconverter-fed drive systems .. 2... 2.2.5 475 10.4.1 Rotor-oriented control . 2...) ee 476 ‘| 10.4.2 Field-oriented control... 2. ee 479 10.5 Load-commutated CSI-fed drive systems... . . 485, 7 10.5.1 Basic properties and torque production . . , 486 i 10.5.2 Standard control. 2... ee 488 10.5.3 Constant inverter margin time control... . . 490 7 10.5.4 Starting methods 7 492 . 10.6 Transistor PWM inverter-fed PM synchronous motor drive systems . . . 494 10.6.1 Basic properties and torque production... . 494 i 10.6.2 PM synchronous motor drives with sinusoidal current eee es 497 10.6.3 PM synchronous motor drives with rectangular (switched) current 2.2.2... Le 500 10.7 Voltage/frequeney control of VSI-fed multiple motor aie Re 502 } Chapter 11 Introduction to microprocessor control... . . . 504 , Appendix. Signal processing for vector control systems... . sil } A.1 Phase conversion and coordinate transformation ... 511 A.2 Models for flux vector estimation... .....-. S17 ie ‘A.3 Observers for flux vector estimation... 2.2... 523 i ‘AA Torque and torque angle estimation | |... 326 A.5 Real-time calculator for converter-fed ac motor “drives i investigation and control. . . . . lJ laa] t] tl bl el bl 529, References... PE Me TEE 530 Symbolsemployed . 2... ee ee 345 Marts | EY | fal fal TET ky fal fal fae tT RL A fl fol Jl 7 - 553 ; me Introduction Electric motor drives absorb the majority of all electrical energy pro- duced. Conversion of electrical power into mechanical power involves mo- tors ranging from below 1 kW up to several dozen MW. In the industrial countries, electric motors take some 65% of the entire electrical energy available, of which only about 8% is taken up by de motors, usually em- ployed in controlled drives. Thus most of the energy is used by ac motors, typically working at, uncontrolled angular speeds, usually as multiphase induction machines. Thanks to advances in technology and eutomation, controlled drives are now employed increasingly frequently, and are con. siderably more economical in use of energy. Depending on the technologi- cal process involved and the drive motor power employed, contemporary drive systems are expected to meet a varioty of requirements, the chief of which are: — maximum conversion efficiency; — continuous control over a wide range of angular speeds, torques, angular accelerations, angular and linear displacements, and drive motor power factors; — minimization of error and shortening of transition processes when the control and disturbance quantities are being changed; ~ maximum utilization of motor power under reduced voltage, cur- rent, temperature, etc.; — simple and reliable operation. Those requirements can be satisfled by present-day automated drives where the electric motor is supplied via power electronic converters, Such drives commonly use separately excited de motors, which aro particularly suitable for the purpose because of their good control capability. In such systems, a thyristor or a power transistor converter is placed between the three-phase supply and the de motor. The angular speed of the de motor can be varied by adjusting the dc output voltage of the converter, Various 2 INTRODUCTION drive systems are employed. depending on the power and the kind of task involved, and the adverse effects of line-commutated converters on the supply source can be significantly reduced if fully controlled switches are used, together with appropriate control algorithms. With advances in power engineering, also the ac motor, especially the squirrel cage variety, is becoming increasingly common in industrial drives, being simpler, cheaper and more reliable than the de motor. The widespread belief that at the present stage drive systems with ac motors are more complex and expensive than those based on de motors is not always fully justified. For example, in high-power fan and pump drives, where relatively small speed Control ranges are involved, ac motors are definitely an asset. Thus selection of the drive to employ in a given ap- plication must be preceded in each case by careful cost-effect. analysis, with consideration being given to the particular technical requirements. It must be stressed that ac motors are employed automatically when- ever high power requirements and high angular speeds are involved; de motors are not suitable for such applications. Moreover, ac motors are the sole alternative under certain conditions of operation, such as those involving flammable, corrosive or dusty atmospheres. In assembly drives, where a large number of motors operate simultaneously, ac motors are also employed. Finally, ac motors tend increasingly to replace de motors, including the low power types, in machine tool, robot, works transport and aircraft drives. ‘As an interdisciplinary branch of applied science and technology, present-day drive control engineering draws heavily on the theory of elec- tric motors, control theory, and industrial electronics, but it is the last of these disciplines that plays a decisive role in the development of the the- cory and practical implementation of automated electrical drive systems. ‘The two basic divisions of industrial electronics, viz. signal processing and power electronics, have been through a period of dynamic development in ‘recent years and continue to be in the forefront of the industrial and scientific revolution. Advances in these areas have created new possi ties of controlling ac motors and have revolutionized our thinking about the properties of electric machine as working elements of electric drives. A good example is the synchronous motor operating in association with ‘a self-controlled converter, whose control properties are comparable to those of systems with de motors. Thus the advances ii power electron- INTRODUCTION 3 ies. signal processing and control theory have made it possible to develop drives based on ac motors, with a control capability identical to those made available by the separately excited dc motor. Jn the first four chapters of the present book we give a mathematical description of ac and de motors and review their basic properties. Follow- ing that, in Chapter 5, we take up the theory and the operating principles of power electronic converters. In Chapter 6 we cover the fundamentals of the synthesio of continuous and diserete control systems. Control of de and ac motors (ring, Induction and synchronous) is discussed in Chapters 7-10, where we also deal with the design of control units for such motors. Finally, Chapter 11 introduces the reader to the microprocessor control of electric drives. 1 Mathematical models of electric motors 1.1 Introduction In the present chapter, we consider the basic equations describing de and ac motors, The first attempts at a mathematical description in terms of which transient states could be analysed concerned a synchronous machine, and were based on the two-aris theory of a real variable (Park (194]). Following that, taking advantage of the symmetry of an induction machine and introducing vector quantities (complex space vectors), the one-azis theory of ac motors was developed (Kovacs, Racs [28]; Kovacs [29]). The theory was then extended to steady states, on the strength of its affinity with the complex number method. In this way the theory became the universal mathematical approach for use in the analysis and synthesis of modern drive systems with ac machines (Bithler [10]; Kleincath [26]; Leonhard (35, 36)). The complex description is used mainly in the German-speaking world (Biihler [10]; Kleinrath [26]; Leonhard (25); Pfaff (44), in Eastern Euro- pean countries (Kovacs, Race [28]; Kovacs [29]; Kelemen [24]; Tunia, Kadmierkowski (55]) and, to some extent, in the former Soviet Union (Krivitskii, Epshtein {80]). In the English-speaking world, on the other hand, the two-axis real description is preferred (Bose (5); Divan, Lipo, Lorentz, Novotay {13]). For all the differences between the two approaches, however, relationships are available which allow direct conversion from the ‘one into the other. Similarly, the two-axis model can he directly related to the so-called generalized machine model (Jones {23]; Meisel [38]), in terms of which all types of machines can be described. In the present book, electric motors ate described in terms of the com- plex space vectors method. Since the approach is little used in English- bn oman ae nen ene the hbk bbb . | VOLTAGE AND FLUX-CURRENT EQUATIONS 5 language literature, the treatment that follows may help fill this gap. Moreover, it is our conviction that the method is highly effective in tho synthesis of control eystems for converter drives, as well as allowing for proper physical understanding. Later in this chapter we shall introduce ‘the mathematical model of a three-phase motor, in terms of which the static and dynamic properties of induction, synchronous and de motors will be described. 1.2 Voltage and flux-current equations ‘The following simplifying assumptions are made when deriving the set of equations describing an electric motor with three-phase windings in the stator and rotor: (1) the motor under consideration is 2 symmetrical three-phase one; (2) only the basic harmonic is considered, while the higher harmonics of the spatial field distribution and of the magnetomotive force (MMF) in the air gap are disregarded; (3) the spatially distributed stator and rotor windings are replaced hy a specially formed so‘called concentrated coil (Fig. 1.1); (a) bh Pa] : Fig. 1.1: (a) Distributed winding of phase A, (b) distributed winding represented by @ concentrated coil with the same magnetic avis A as that in the real winding 6 MATHEMATICAL MODELS OF ELECTRIC MOTORS Ch. 3 (4) the effects of anisotropy, magnetic saturation, iron losses and eddy currents are neglected; (5) the coil resistances and reactances are taken to be constant; (6) in many cases, especially when considering steady states, the cur rents and voltages are taken to be sinusoidal. Fig. 12. Layout and symbols for three-phase electric motor windings For such an idealized motor (Fig. 1.2), the following equations of the instantaneous stator phase voltage values can be written: Wa Us =ToR. + Ft (14a) dp = (1.1) dc we, (1.16) where U4, Up, Uc are instantaneous stator voltage values, 4, Is, I¢ are instantaneous values of the stator currents, R, = R4 = Ag = Ac ts the resistance of the stator windings, and W4, Yq and Wc are magnetic flux linkages with respectively stator windings A, B and C. In order to establish the flux-current equations, let us consider in detail the total flux linkage, e.g, with stator phase A: Va =Vaa+ Yaa + Voa + Yaa t+ Ua t Vea, (1.2) eer oe VOLTAGE AND FLUX-CURRENT EQUATIONS 7 where the respective components of the flux linkage with stator phase A are induced as follows: Waa by the current I’, of stator winding A, Wes by the current Ip of stator winding B, You by the current Ic of stator winding C, Ya by the current I, of rotor winding a, Yq by the current Jy of rotor winding 6, eq by the current I, of rotor winding c. Consequently, the components of flux linkages are given by the equa- tions: aa= Lala, Yar = Maale, Yoa=Mpala, Via- Miah, (1.8) Yoa=Mealc, Wea = Meale. The self-inductance is defined as Lg = 7APAA _ 2A(Pma + oa) Ai) |\jea Ta where Lya is the leakage inductance of stator winding A, The mutual inductances, on the other hand, are =Luat Lea, (4) Mas = Mpa =—3Lua (15) because Pap = Pua cost(Fa,F5) = Py cos(3n)=-3By,. (1.6) On the basis of formulae (1.4) and (1.6) it is possible, assuming that -B, to write a te%an _ 28Fu, feet Te Zeal (1.7) Similarly Pac = B44 008($7) = 18244, 2.8) whence, based on equations (1.4) and (1,6) for z4 = zc, one obtains zo%a(-}) Ta Equality 24 = 22 = 2c results from the motor symmetry as assumed in (1) above. Mea = Mac Hua. (19) 8 MATHEMATICAL MODELS OF ELECTRIC MOTORS Ch. 1 ‘When determining the mutual inductance of stator and rotor windings, account must be taken of the rotating motion of the rotor. The flux of stator phase A winding, induced by the current of the corresponding phase of the rotor, is a function of the angle Ym of the rotor position relative to its magnetic axis (1.3). In view of this, 2aPaa _ ZaP MA C08 Im Mea = Maa = “74 : = Bel acos tm: (1.10) Mia =Mas aa - BPM costin +3) = Flat col + 37) Qu) ee sstuscelta #9 = Eta cortan + $n). (2.12) ‘Taking into account the symmetry of rotor windings 2. = 2 = Ze and using expressions (1.3), (1.5), (1.9) and (1.12) in equation (1.2), ‘we eventually obtain an equation for the total flux linkage with stator phase A: U4 = (La + Loa)la - }Luale — }Lwale + (Ztua cos: am) ft + Eiwa c08(Ym + 30) + Bima cos(tm + $7)le. (1.18) As in (1.2), we can write equations for rotor voltages: U.= 168, + 22, (1.140) uanr+ 2%, (1140) ab. UceleRrt Ses: (L.14e) where U,, Us and U, ate instantaneous values of rotor voltages, Ja, J and J, are instantaneous values of rotor currents, R, = R. = Rs = Re are resistances of rotor windings, and Yq, % and ¥- are magnetic flux linkages with rotor phases ¢, b and ¢, respectively. | j | | VOLTAGE AND FLUX-CURRENT EQUATIONS 9 Using the same line of argument as in the case of stator phase A (formula (1.2)), one can write an equation for the flux linkage with rotor phase a: Va = Wan + Vin + Wea + Uae + U0 + ¥C0 Lole + Moaly + Moale + Macla + Maale + Mcale. {1.15) The self-inductance is Dg = Ota 828) 2 ys + bees (1.6) Ta where Lea is the leakage inductance of rotor winding a. ‘The mutual inductances of rotor windings are expressed as Mba = Mas = ae uscorlr) Dates (1.47) Mea = Mac = zucconl fr) (1.18) “eile the mutual inductances ofrotar phase a and stator phase ae Mag = Maa = PAEMGS=IE = “AL yes cos» aus) Maa ~ Mas = satan tI) = bacon + 30), (820) Meo = Mac = sobueseatin + £9) Zhe contin + 49)- (123) Considering the symmetry of coils z4 = 2p = 2c, and compering equations (1.19), (1.20) and (1.21) with the analogous equations (1-10), (1.11) and (1.32) obtained in the process of determining the flux linkage with the stator phase A winding, onc can write x ZA The above equality also results from the assumed motor symmetry. By analogy with the equations for stator phase A and rotor phase a it is possible to write equations for flux linkages with the other stator and rotor phases and, following substitution in the voltage equations (1-1) ma="ALMe , (1.22) Ze : 10 MATHEMATICAL MODELS OF ELECTRIC MOTORS oh and (1.14), the whole can be presented in matrix form: U2 RI + a, (1.23a) Uz = Ryd, + =, (1.236) ¥,=L,1,+ M.1,, (1.24a) U, = Lt, + ML, (1.246) where U, = (Ua, Up, Uc} is the stator voltages vector, Ur = Wa, U»,Uc]” is the rotor voltages vector, 1, =([Fa,Ip,Te|" is the stator currents vector, F-=|la,Ty,I]* _ is the rotor currents vector, [F4,¥a,o]” Is the stator flux linkages vector, W, = Pe, For¥e]” is the rotor flux linkages vector. ‘Thus (125) ©08(m +97) — COS%m_ —— £08 Ym + Be) cost c08(tm-+ 3m) cos tm + $m) My= Lua ] + (1:26) HT £00(%m +37) costim+ $n) COSY while M,=M?. In matrices (1.25) there appear, respectively: the stator windings leakage factor Loa _ La ul iq 28a) Tua Tina * (1.282) Ki (1.27) SPACE VECTORS u —the rotor windings leakage factor L. La Luc Date Matrix equations (1.23) and (1.24) constitute the set of voltage and flux-current equations of a thremphase ac electric motor in threerahen natural coordinates, o, <1. (2.286) 1.3 Space vectors and their representations in coordinate systems 1.3.1 Physical basis ‘The magnetomotive force (MMF) induced by the current in a solenoid coil, which may yepresent, for example, phase A of a three-phase motor stator (Fig. 1.la), is given by the formula Fma=zala (4.29) Lois maguetomotive force has a precisely defined direction in space, which lies along the tic coil axis. It can therefore be treated as a vector (Fig. 1.3): Fra =zala. (1.30) 5 Fig. 1.3. MMF of concentrated coll Also the current Z4 can be treated as a vector. Thus conceived, the vector furrent flowing in the coil. {t is worth pointing out that this current may have any wave form: it can be sinusoidal or non-sinusoidal, with a de Component. Similarly, with the simplifying assumptions of Section L2, 12 MATHEMATICAL MODELS OF ELECTRIC MOTORS = Ch. the phase windings B and C of the motor stator can be ascribed phase current vectors Iz and Tc, respectively (Fig. 1.4). Vector summation yields TsihtIg+lc. asp Fig. 1.4. Space vector of three-phase motor stator current Vectors Ix, Ip and Ic lie in the plane of the stator cross-section per- pendicular to the motor shaft axis. The resultant vector I is coplanar with vectors I4, Ig and Ig. Its amplitude and position depend on the instantaneous values of phase currents Ia, Ip and Ic. If the (Gaussian) complex plane is positioned in such a way that the real axis coincides with the magnetic axis of the phase A winding (Fig. 1.4), one can write Ua, (1.82a) Ipe?™3 = aly, (1.32b) Toet3 (1-82e) (2.53) SPACE VECTORS 13 Hence, equation (1.31) takes the complex calculus form T=llytalg+atlc. (1.34) This resultant vector I given by equation (1.31) or (1.34) is called the space vector [28, 29]. The space vector concept can be extended to other quantitios deccrib- ing electric motors, such 4s voltages or flux linkages. Let it be pointed out that the term “space vector” does not denote a physical vector quantity because voltage and current, for example, are not vectors, strictly speak. ing. It is, nevertheless, an analytical quantity which, referred to a plane, obeys the laws of vector algebra. 1.3.2 Definitions and basic properties A three-phase symmetric system represented in 2 natural coordinate system by phase quantities, such as voltages, currents or flux linkages, can be replaced by one resultant space vector of, respectively, voltage, current and flux linkage. If k(t), ka(t) and ke(¢) denote arbitrary phase quantities in a system of natural coordinates (A, B,C) satisfying the con- dition éka(t) + kp(t) + ko(t) =0, (1.35) then a space vector is defined as 2 B1ka(t) + aka(t) +a%e(2)]. (1.36) Thus defined, a space vector is a complex quantity, the factor 2/3 in the definition equation (1.36) being the normalization factor (generally: 2/m,. where m, is the number of phases of a multiphase system). The choice of the normalization factor is entirely arbitrary, depending solely on no- tational convenience. In the theory of electric motors, use is also made of the factor \/273, which ensures power invariance of a three-phase system with the two-phase system equivalent to it. Such normalization is conve- nient in the matrix description of equations, as it satisfies the condition of orthogonality of transformation matrices (Jones {23|), but some authors do not make use of the normalization factor at all (Leonhard (35. 36)) The factor 2/3 adopted in (1.36) guarontces, for the case of sinusoidal waves, equality of the space vector amplitude and the amplitude of in- uu MATHEMATICAL MODELS OF ELECTRIC MOTORS Ch. 1 of Fig. 1.5. Construction of resultant space vector I based on known instantaneous values of phase components ka, kis, Re stantaneous per phase waves. This is especially convenient in the analysis and synthesis of converter drives. ‘An example of space vector construction in accordance with definition (1.36) is shown in Fig, 1.5. Worth noting is the formal similarity of the space vector definition (1.36) to the positive-sequence system employed in the symmetrical components theory [26]: K, = }(K4+aKp +2°Ko). (1.37) ‘Space vectors should nat be confused with three-phase phasors; in spite of certain similarities, the two concepts differ in essential ways. The complex quantities Ka, Kg and Kg in (1.37) are three-phase phasors represent- ing exclusively sinusoidal wave time quantities. In general, their instanta- ‘noous values ate obtained as orthogonal projections of the rotating vector K = knelt = Ko, (2.38) where kp is the sinusoidal wave amplitude, on the fixed time axis, for example, the real axis of a complex plane: k(t) =ReK = }(K+K"), (2.39) where K* is vector conjugate to K. kin BD OARATRRORESORODSPRCERERECRELELCOLES SPACE VECTORS 15 Thus the necessary assumptions made in the symbolic method, as it is employed in the analysis of steady-state ac current networks, include a sinusoidal waveform and constant angular frequency £2,. By contrast, in equation (1.36) real instantaneous values of phase quantities ka(t), kg(t) and ko(#) eppear without ony assumptions as to the waveform produced by them. The basic prerequisites for the use of space vectors, as the analytical quantities in terms of which transient states will be analysed, include their spatial location in the plane of the electric motor cross-section and abandonment of the sinusoidal waveform requirement. zample. We shall consider the special steady-state case, when the phase waveforms are sinusoidal with angular frequency (2, = 2nfs. Then we can write (2.403) Kx = kmello 2/ Sides , (1.408) Kg — keyel(O-4/91 1718, (2.400) where km = V3K is the amplitude and K is the rms value of the sinuscidal wave. By (1.30), the instantaneous values can be expressed as a(t) = kmfolOettw) + @ Ht )] Bm cosl eet + 7), (41a) p(t) ~ HimlataMt0) 4 ggri@stt ol — by cos(Qut-+ e— 3x), (14Tb) kot) = Hem[ael et) + g%eHMt+9)] = fg cos Pet-+ 9 $n). (Lele) 1a deriving the above equations, advantage was taken of Buler’s formulae and of rcla+ tions of the type aMonamis) — a8eie, grHlo-27/9) ad, te It is evident from the above equations that ka) =ReKa, bolt) =ReKy = Re(a?K a), bolt) = ReKe = Re(oK a). ‘Substituting equations (1.40) ia (1.87) and, respectively, (1.41) in the space vector ‘definition (1.96), ylelds the important relation Kea Ky = Ky = kgelPelet os Knell (1.43) (1.42) ‘Thus in the steady state, the space vector k rotates (in a statorfixed coordinate system) with a constant angular speed equal to the angular frequency 2, of sinusoidal phase waves. Its amplitude is constant, and equal to the amplitude of the phase waves Jim. At the seme time, in this particular case, kis formally identical with Ky in the sense of a vector rotating in the temporal plane whose orthogonal projections on the stationary time axis are represented by the instantaneous values of phase quantities a(t), halt), ko(t) (Fig. 1.63). 16 MATHEMATICAL MODELS OF ELECTRIC MOTORS = Ch.1 {b) re f dao coaplon ine lane ‘oaplon spacing me Fig, 1.6. Comparison of space vector with three-phase phasor: (a) rotating three-phase phasor diagram (2,t + = 60°), {b) space vector in complex plane placed in two-pole ‘motor section and instantaneous values of phase quantities k4, kg, kc corresponding, toit Just as the projection of a rotating complex phasor on the stationary time axis (Fig. 1.63) defines the instantaneous values of the corresponding phase quantities (1.42), s0 in the case of the known space vector k the instantaneous values of the hase quantities associated with it are obtained from the spatial projection of k on the phase axes (Fig. 1.66) RaQ) =REK, k(t) =Re(a*k), elt) = Re(ak). aaa) Remark. In the general case when condition (1.35) is not satisfied, Le., when there appears in a three-phase system a zero symmetric component (as may happen in a star-connection with zero lead): ka(t) + ka(t) + ko(t) = Bho, (1.45) then that component has to be taken into account in determining the instantaneous values: ka(t)=Rek+ko, kp(t) = Re(a?k) +k, Ke(t) = Re(ak) + ho, * for it is not included in the space vectors. Nevertheless, this atypical case will be neglected in our subsequent discussion. ‘To sum up the foregoing, it can be said that space vectors constitute a transformation of the natural instantaneous values of a three-phase (1.46) ‘SPACE VECTORS wv system on a complex plane located in the electric motor cross-section. In that plane, space vectors rotate with angular speed {2 equal to the angular frequency of the three-phase system. It is thus a special trans- formation because it has a simple physical interpretation, for example as a vector of rotating magnetic field induced by a three-phase winding. Moreover, in the special case that the steady state is under conditions of sinusoidal symmetric supply, space vectors become formally identical with three-phase phasors, which males it possible to analyse steady states, in terms of the complex aurnber method. 3.3 Space vectors in coordinate systems An important advantage of space vectors as a mathematical tool ap- plied to the analysis of electrie machines is that they can be represented in various systems of rectangular coordinates. Stator-fized system of coordinates a, 8. Introducing a fixed system of rectangular coordinates such that a is the real axis and § the imaginary axis, and resolving the space vector ke into its real and imaginary parts, yields (Fig. 1.7): Fig. 7. Space vector k represented in stator-ixed rectangular system of coordinates ap k= ka tikp, (147) where ka = Rek = Re }(1ka + akp + akc) = 3[ka— p(k —ke)}. (1.48) 18 MATHEMATICAL MODELS OF ELECTRIC MOTORS Ch. 1 If condition (1.35) is satisfied, we obtain ha = ha. (1.49) Similazly, kg =Imk = Im §(tka + akp +a%ko) = Je(ka — be) (1.50) ‘Transformation to rotating coordinate system. Let us now consider the case of a known space vector in a stator-fixed system of coordinates a, 9, which fs to be transformed into a new coordinate system rotating with angular speed x: = dyx/dt, where 7x is the angle between the real axis, Ate Fig. 1.8. Transformation of space vector k from statorfixed system of coordinates a, B to system of coordinates d, ¢ rotating with angular speed 2x = Qn @ of the fixed system and the real axis of the rotating system (Fig. 1.8).In such a case, taking into account the rotation of the system, the following “relations hold: ka kd, ky = bell 17 18) = ke IK | (1.51) where ky is the space vector in the system rotating with speed $2, and kis the space vector in the fixed system a, 6. Kotor-fized system of coordinates d, q. In the special case when 2x = 2m is the angular speed of the rotor, such a rotor-fixed coordinate system is known as the d, g system, where the d axis is the real axtis which coincides, with the direction of rotor phase a winding (cf..Fig. 1.2), while q is the imaginary axis. The space vector k can then be represented (Fig. 1.8) by two rectangular components: Kk = hat ihy- (1.52) EQUATIONS IN TERMS OF SPACE VECTORS 19 Sunchronows rotating system of coordinates , y. In the special case when Mx = Q, is the synchronous speed, such a system related to an arbitrary space vector (voltage, current or flux linkage) is called the synchronous , y system, where 2 Is the real axis coinciding with the direction of the selected space vector (voltage, current or flux linkage, respectively). Also in use are reverse sequence systems, for example, g, d instead of 4d, q. This depends on the notational conventions adopted [26]. Moreover, if zero component equations are taken into account, then the stator-fixed system is denoted by (a, 8,0), the rotor-fixed system by (d,9,0), and the synchronous rotating system by (z,y, 0). 1.4 Voltage and flux-current equations written in terms of space vectors Suppose we multiply equation (1.1) by 21, (1.1b) by Za and (1.1e) by 22%. Term-by-term addition yields 3(1U4 +aUp +a°Uc) ; ad = Fla tale +a%le)Re+ 55 Substituting in the above #elation the respective space vectors — as de- fined by (1.86) — yields (10, +a¥p+a'bc). (1.53) ay, Us =LRs + Gs (1.54) where U, is the stator voltage space vector, I, the stator current space vector and W, the stator flux linkage space vector. Tn a similar way for equations (1.14a), (1.14b) and (1.14) we get the vector equation ay, : UrsLR.+ SE, ! (1.58) where U, is the rotor voltage space vector, I, the rotor current space vector, and ¥,, the rotor flux linkage space vector. ‘We now proceed to represent the dux-current equations as space vec- tors. The incorporation of space vectors can be represented in matrix 20 MATHEMATICAL MODELS OF BLECTRIC MOTORS Ch. 1 form. ‘To this end, we perform multiplication of the matrix equation (1.24a) by the space vector operator 3A and obtain 2A, = 240,14 2AML, (1.56) where A=(ta,a’] (1.57) After the necessary calculations and rearrangements, we can write AL. = Lyal§ +0,)A, (1.58) AM, = 328 Dy 4Acit (1.59) Das Substitution of the above relations in (1.56) yields 3 ie =Laealh toate + 32 Ly ateltm 4 Wom Tacalh toe) + 52 Lacalraltm (2.60) where ¥s, Ig, 1, denote space vectors for flux linkage and stator and rotor currents respectively. ‘The rotor flux linkage equation (1.24) can be transformed in a similar way by performing multiplication by 2.4: AW, = BALI, + 34M,1,. (1.61) By analogy with (1.58) and (1.59) we can write AL, = Lya(}+or)A, (1.62) AM, = 3221y Ae, (1.63) =A Substituting equations (1.62) and (1.63) into (1.61) yields Wy = Laa(§ + o)I +3 erate, (1.64) 2A where ¥,, I,, I, are the appropriate space vectors. For the sako of simplicity we assume that Lua($tes), Lr =Lua(} tor), (1.65) i EQUATIONS IN TERMS OF SPACE VECTORS 21 Fig. 1.9, Transformation of motor model: (a) real model with three-phase stator wind- ing (represented by natural real components, e.g. voltages Ua, Us, Uc) and three phase rotar winding (represented by voltages Ua. Us, Uz), (b) made-up model with one ‘nator winding (represented by complex space vector, e.g..voltage U) and one rotor winding (represented by space vector U,), (c) model with fictitious stator and rotor ‘windings rotating with angular epead Ox (reproventad, respectively, by space vectors Usecs Tascs Wea: Ura Inxe aad Vex related to the now rotating reference frame K), (a) model involving two Setitious stator windings rotating with speed (x (represented by space vectors Usk; Haas Waxes and rotor vectors Uy Pigs rye Brought over to stator side) 22 MATHEMATICAL MODELS OF ELECTRIC MOTORS Gh. 1 Bringing together the voltage equations (1.54) and (1.55) and flux. current equations (1.60) and (1.64), we obtain the set of voctor equations a, Us= LR + Ze (66a) Up, =LR.+ * (1.66b) Y, = 1,1, + Met, , (1.67a) Vr = Ly], + Med, (2.676) ‘To sum up the above discussion, let us note that the introduction of complex space vectors made it possible to reduce the number of voltage and fux-current equations from twelve in the natural coordinate system (1.23), (1.24) to four in the vector form (1.66) and (1.67). This is equival- ent to the replacement of a eal motor with three-phase stator windings A, B, C and three-phase rotor windings a, b, ¢ by a fictitious machine with one stator and one rotor winding (Figs. 1.9a,b). Moreover, in the starting model natural real quantities (0.g. U4, Ug; Uc, Las 1B, 1c, Wa...) appeat in the three-phase stator windings, while in the model obtained from the use of space vectors there appear made-up complex quantities (e.g. U,, Ta Way Tt should also be noted that equations (1.66a) and (1.07a) apply to a stator-fixed reference frame, while relations (1.66b) and (1.676) apply in a rotor-fixed rotating frame. The presence of the factors el and e-i™ Points to a dependence of mutual inductance on rotor position. 1,5 Transformation of vector equations into a common rotating coordinate system In order to reduce the set of equations (1.66) and (1.67) to a common coordinate system rotating with an arbitrary angular speed yc, we shall perform a sequence of transformations in accordance with the principles developed above in Subsection 1.3.3. From equations (1.67) and (1.66) we obtain ALA, + Ment.) dt rt U,=LR,+ (1.68) TRANSFORMATION OF VECTOR EQUATIONS 23 A(L,T, + Me“i™I, U,=LR, + SER every | (1.685) Transformation of the stator voltage equation (1.68a) in accordance swith formulae (1.51) yields AL Lyre $ Api It 1=)) im ine Uaxel® = Rola el™® + = (1.69) and considering the fact that aL slaxe*) LK sine 4 131K gine ge nba ee + tai er), (70a) MIO) ap Sek ome ag 8K ghee TM ae tts em), 700) we obtain: 7 Wes 9™ = RTO + Slade + Mie) + + iLslaae + Mia) 20. an) ‘Term-by-torm division of (1.71) by e!™* yields Tent Mina) U.K = Relea + Maton + Mise) 4iQe(Le + MIex). (1.72) ‘The factor d(L,L4w + MI, x.)/dt in (1.79) represents the inner voltage (EMP) of the transformation, while {Mx (Lslzx + Ml,x) is the inner voltage of motor rotation. In the same way, transformation of the rotor voltage equation (1.68b) yields a + Miz; PP ose Redeye Este + ME) 606 — og) elec + Mg). (1.78) Finally, taking account of the fact that in a general case the machine has pp pairs of poles, the vector equations in a common rotating coordi- nate system take the form Use = Bala + Sn + IDV x, (74a) Uri = Bele + ex +H RK — Pm) Vrs (1.74b) Wx = Lelsx + MI-x, (1.78a) Wee = Lele + MLK, (1.75) 24 MATHEMATICAL MODELS OF ELECTRIC MOTORS Ch. 1 the index K denoting space vectors in a coordinate system rotating with angular speed 2x, P,.Qm being the rotor electrical angular frequency, 2m the rotor mechanical angular speed, and py the number of pole pairs. ‘Thus the made-up machine model containing single stator and ro- tor windings (Fig. 1.9b) — brought to a common reference frame K — became a machine with Actitious windings (represented by dashed line in Fig. 1.9c) rotating with the angular speed 2x of the new reference frame. Note that: it is only now that the basic advantage of the vector de- scription becomes apparent: regardless of tho attained reduction in the number of equations, their transformation to a common rotating refer- ence frame has made it possible to eliminate the dependence of mutual inductance on the angle “jm of rotor rotation relative to the stator (see ‘equations (1.67) and (1.75)). 1.6 Referring rotor quantities to the stator circuit ‘To achieve notational unification, the rotor quantities appearing in the set of equations (1:74) and (1.75) will be referred to the stator circuit. Reduced to the stator circuit, the rotor current vector is expressed as tee fle. (1.76) Then, taking account of (1.65) and (1.76), equation (1.75a) can be written 88 Wx = Lua(d + o.)Lex + lar Bie AM(Lx +x) (ur) Similarly, it follows from (1.65) and (1.75b) that a= osbualsx + Wr = Lye($ + ore + Daa 40x 7 fy [ertave(24) Be + 2mx +t] . (178) EERE RR “eg RRR RRR RRR NEN Ire nese ee REFERRING ROTOR QUANTITIES TO THE STATOR CIRCUIT 25 ‘We now adopt the following symbols: Leo = osbMA stator winding leakage inductance, Dove = Orban rotor winding leakage inductance, Lh, = (24/24)*Lro rotor winding leakage inductance referred to the stator circuit, Lm=(2a/%a)M=3Lua=$Lar2 main inductance, Tux =Lx tle magnetizing current space vector, main flux linkage vector, stator leakage flux linkage vector, rotor leakage flux linkage vector referred to the stator circuit. ‘Using the above definitions, equations (1.77) and (1.78) can be written Wax = Leolox + Lulacx = Yoox +¥uK, (1.79) a cpr ES Wen = 7 Lroln + LorEurc) = (Brox + Yor). (1.80) ‘Taking account of relations (1.77) and (1.80), the rotor voltage vector equation (1.74b) becomes ZA UK = ART et = RTs (Yeo Yh Fr 2s [Mee it i004 — pat) Uren + Yan] (81) tA dt ‘Term-by-term multiplication of this equation by z4/z, and putting : = (2), Ui BU, Yee te (18) yields ay, ¥, Vise = Ry + iat Se) +5(2x ~ PQm)Yrox +¥aux)- (1.83) Beating in mind that flux linkage is made up of the main fux Yar and the leakage flux V1, : Wie = Wrox + Wace Lrolnx + Lalo, (1.84) 26 MATHEMATICAL MODELS OF ELECTRIC MOTORS Gh. the rotor voltage equation can be represented as ” v4 Ere 7 Uric = Relrsc + BE + i(Qke — Pm Wer (2.85) Let us now consider the fiux linkage equations (1.70) and (1.84). Elim- inating from them the magnetizing current Ing = Iyx+I.g, we oD- tain WsKk = (Leo + Luge + Luli, (1.86a) Wha = Cpe + Lin Me + Lala « (1.860) Bearing in mind that winding self-inductance is the sum of main and leakage inductances: Da = Leo + Lae, (1.878) Lr=Lig+lu, (1.87) we can write Wax = Lx + Luli, (1.88a) Wha = UN + Lule. (1.888) Finally, combining equations (1.74a), (1.85) and (1.88) we obtain a form of electric motor vector equations in which the rotor cizeuit quan- tities have been reduced to the stator circuit: Von = Rede + BE 4 104%, (1.890) Uh = Rete + EE + iq ~ mma, (1890) Wx = Lil + Lulix, (1.90a) fe = LD + Laslox (1.90b) Thus, as a result of another transformation, thé motor model has been brought to the form depicted in Fig. 1.9d, in which the made-up motor contains — in its stator — two fictitious windings rotating with angular speed (2x, as the rotor winding quantities have been reduced to the stator circuit (Ura Ts WK): INSTANTANEOUS POWER AND ELECTROMAGNETIC TORQUE aT 1.7 Instantaneous power and electromagnetic torque Instantaneous power supplied to an m,-phase winding can be expressed in terms of complex space vectors as P(t) = pm, REUSE), (91) where I; = In1 — jZeo is a vector conjugate to vector T,. ‘The correctness of relation (1.91) can readily be tested by means of the definition formula (1.36); thus, for m, = 3, for example, one obtains P(t) = $Re[Z(WUa + ag +a Vo)3(i4 tate tafe), (1.92) which, using Rea=Rea*=-} (1.93) and rearranging, yields P(t) = Hala + Unla + Vole — 3[UalIn + Ic)+ +Us(l4 + Ic) +Uc(I + In)}}- (1.94) Taking advantage of the assumption (1.35) that instantaneous values of phase waves do not include a zero component, i.e. that I4-+Ip+Ig = we can write Iatig=—le, Iatle=-Ia, IatIc=-Ta, (1.98) from which (1.94) can finally be written as P(t) = Usla + Usls + Vole. (1.96) The above equation represents the sum of instantaneous vaines of the different phases of a three-phase system. In the particular case of a steady-state under conditions of symmetric sinusoidal supply, we have from (1.43) that Wy = Warn Pt = Tem SP 8 Ot = JB gheinay HP e eM" (1.978) and, similarly, that B= VElgemye™ (1.970) 28 MATHEMATICAL MODELS OF ELECTRIC MOTORS Ch. 1 which, folowing substitution in (1.91), gives the constant power value P = ding Re[(V2U (ems)? e™**) (2T (mse Fe = Vater Ts{eons) CO8P + (1.98) whee y =p. — vi. ‘The instantancous electromagnetic torque developed by an electric mo- tor can be defined, in 2 general way, as Pe Men (1.99) where P, is the electromagnetic-power and ,, is the mechanical angular rotor speed. ‘The electromagnetic power is that part of the power supplied to the electrical terminals of a motor which is neither stored nor lost. Tt cor- responds to the voltages induced in rotor windings and to the currents flowing in them. Let us consider the overell power supplied to electric ‘motor stator and rotor windings. From equation (1.91) we can write P(t) = dm, Re(UsI) + $m, Re(UyIz). (1.100) Adopting a fixed reference frame, Le. 2x = 0, the voltage vector equa- tions (1.89) can be incorporated in (1.100) yielding ) Pi) = ms [Re (® T+ +Re (eum: + wey. i0q8.12)| - (4.101) Note that IIt = 1? and IT: PC) = 8 [eytB + Red J; thus +Re (G2) +Re at ts ) Hence, neglecting the loses in resistances R, and H,, the internal power RO = +) + Re(—ins net). (1.108) : ee MECHANICAL MOTION EQUATION 20 where Pmmag 18 the power stored in the magnetic fields, The electromag- netic power, in turn, is Pelt) = Gms Re(— ips lm WoT") = $rsp4Om Re(—j¥-I"). (1.104) Using (1.90b) in the above equation yields P.(t) = }m,p.2,, Re[-i(L-V, + Lusl)I"} 7 5Ppm Re(—jLylel,") Py, ‘then at the rated operation point the torque expressed in p.u. is less then unity (m <1). Voltage equations in p.u. are obtained by dividing them, term-by-term, by Uy. For the stator equation (1.112a) we have Use _ olor ls , Max 1, ie Vue axe 1, Px Wore 4 te ths aut 4 (4) whence, taking account of relations (2.2) we get sp dak saan = tolage + Ty EEE + oetban + (25) where Ty = 1/95x is the nominal time constant. In the same way, for the rotor equation (1.112b), we obtain rege + Ty UE + on — nd ra 8) Uni It is also possible to introduce the relative time tha = Ot = Pant = (2.7) Tw SRE ETO ‘VECTOR EQUILIBRIUM EQUATIONS IN PER UNIT SYSTEM 41 in which case a 7 Dave (28) and the voltage equations take the form vax = tale + EE janetc (2.98) uae = Frege + E+ age — sm Wea (2.90) Flu current equations in g.u. are obtained by dividing equation (1.118), term-by-term, by Wy and taking into account relation (2.2c): there = Laiox + laeien (2.108) Wea = bebe + aries (2.108) of using identity (2.8) in equivalent form (2.412) Were = rink + IMiex - (2.11b) Electromagnetic torque equation in p.u. is obtained by dividing equa tion (1.106b), term-by-term, by Mi: nM _ Behm, Im(¥5I, = 3 TTT) et Sine! (212) which, for m = 3 and using (2.3b) and (2.3f), yields gim(¥31.) Spite 7 Im(bit, (2.13) Equation of motion in p.u. Let us write the motion equation (1-111a) in absolute units as Om 232 MM. (2.14) Following division by the base torque My we have md ULm/ Ome) M_ Me Mat Ms Mh (215) which yields (2.16) a2 THREE-PHASE INDUCTION MOTOR — Ch. 2 where I2ms _ IQmn _ JP Me My ~ Sw is a mechanical constant also known as the acceleration constant. Finally, the set of equations describing an induction machine expressed in p.u. can be written in the following way: Tu = (2.7) di Waa = Paha + Ty BE + jure as (2.188) Be ely Ty Ste +ilex —em)bexs| (2.186) Bila + tathex, (2.19) Brin + taniok 5 (2.19b) Fagin xin) — ma). : (2.20) Remarks: (1) time ¢ aud the mechanical constant Tyy are expressed in absolute ‘units (in real time); (2) the above equalions are referred to the system of coordinates K rotating with angular speed wy. ‘The transformations required by the introduction of p.u. are respon sible for the following changes from the initial set of equations (1.112)- (2.114) expressed in absolute units: (1) in the voltage equations, the factor 1/%y = Ty appears next to the flux linkage derivatives, which results from the retention of real time; (2) the rotor quantities recalculated to the stator side are referred to the same base units; for that reason tho prime index is omitted; (8) in view of the identity 2 = 2, the inductances in the flux-current equations are replaced by the reactances corresponding to them; (4) the factor m,/2 = 3/2 and the number of pole pairs pp hove both disappeared from the electromagnetic torque expression; also the p.u. angular shaft speed wm no longer depends on py; (8) in the equation of motion, the mechanical constant Tae has replaced the factor 1/J BLOCK DIAGRAMS 43 2.3 Block diagrams Block diagrams illustrate the relations described by the equations which may be represented in terms of space vectors in complex form or, follow- ing resolution into two-axis components, in real form. When resolving vector equations, one may, in view of the machine symmetry, adopt an arbitrary coordinate reference frame. Moreover, taking advantage of the linear dependence between the electromegnetic variables (flux linkage and currents), the electromagnetic torque expression can also be written ina aumber of ways. It follows that there is not just one block diagram of an induction motor, but instead on the basis of the set of vector equations (2.18)}-(2.20), one may construct various versions of such a diagram. In what follows below, we shall consider a number of examples illustrating the principles of block diagram construction, the overall classification of such diagrams being carried out in terms of the reference frame adopted. 2.8.1 Stator-fixed system of coordinates (a,,0) ‘Taking the angular speed of the reference frame to be wx = 0, the set of induction machine vector equations (2.18)-(2.20) may be written as (2218) (2.210) (2.228) (2.22b) SFE = Flim.) ~ mal. (2.23) From equations (2.22) one can determine the currents (2.24a) (2.246) where 1 is the determinant of the flux current equations and is related 4a ‘THREE-PHASE INDUCTION MOTOR Ch. 2 to the total leakage factor o of the machine by a, w o=1-—4 =, Bet, Eye (2.25) In order to go over to the two-axis model, the complex space vectors must be resolved into components a and 4 (Fig, 2.3a): U, = tng + Jury (2.26a) tra thie (2.260) b= re tre (2.26c) bearing in mind that zero sequence components, if they are present, must be represented by additional equations (cf. Subsection 1.3.2). ‘Taking formulae (2.26) into account, the set of machine equations (2.21)-(2.23) can be resolved into components: tsa = Toisa +0y SS, (2.278) ats, ag = reg + Tw (227) Ye 3 rica + Tw 4 tis (22%e) Q ee ee (22ra) You = Zaina + aire (2.288) dep = faisa t+ tains» (2.28b) ra = Spine + oMisas (2.28) bra = Tring + Taig + (2.284) dum 1 i 4 de — 2 (aning — Yap —me)- (229) ‘Taken together with relations (2.24a) and (2.24b), the above equations constitute the basis for constructing the block diagram of an induction machine, as depicted in Fig. 2.3b. In the case of a cage rotor machine, we have additionally u, =0, ic. Urq = tins = 0. The mathematical model thus obtained (2.27)-(2.29) corresponds directly to the two-phase motor description. BLOCK DIAGRAMS: inates 0. 3, (b) block diagram of an induction 8 corresponding to equations (2.24), (2.27) ) Statorfixed system of coordi machine in the system of coordinates ay, (2.20) Fig. 46 ‘THREE-PHASE INDUCTION MOTOR Ch. 2 2.3.2 Rotor-fixed system of coordinates (d, 9,0) In the analysis of dynamic states of induction machines, when the phenomena of interest are those taking place in the rotor windings, use is made of a rotor-fixed coordinate system known as the d, q, 0 system. In order to take advantage of the set of equations (2.18)-(2.20) applying in the rotating coordinate system, one assumes that the reference frame rotates with the rotor angular speed, ie. dm eK = om = Tw", and thus obtains vector voltage equations in the form ay, ta = Pale + Te Et + ents (2.308) Uy = Trip + tte : (2.200) In comparison with the system a, 8,0, the flux-current equations and the equation of motion remain unchanged, ie. they hold in the (2.22), (2.28) form. Proceeding now to the two-axis model, one has to resolve the complex space vectors into components d, q (Fig. 24a): Wy = tag + ited) Or = rg + Ure (2.318) ing t+ Jésds in = ing t+ Jira (2.31b) Poa tied We = try tera (2.31¢) Note that the system d-q is assumed analogous to that for the synchronous machine, in accordance with IEC standards (26). Taking account of (2.31), ‘equations (2.30) and (2.22), which describe the induction motor, can be resolved into components in the d, q system as follows: dwso at omeds (2.328) ag = Toieg + TH oe + wntdag (2.32b) (2.32c) BLOCK DIAGRAMS 47 Bs (ol to) EE Fig. 2.4. (a) Rotor-fixed system of coordinates d, 9, (b) block diagram of an induction machine in the system of coordinates d, q corresponding to equations (2.32)-(2-34) é tra = Pring + Tw Sea ; (2.524) Voq = Loteg + Cisirgy (2.33a) Doe sted + Zaina, (2.33b) | 48 ‘THREF-PHASE INDUCTION MOTOR Ch. 2 rq = Tring + BMisg (2.38¢) bra = Tring + EMied» (2.334) dum 1 : “a Fg Waatea — Be mz) (2.34) Using the above equations and relation (2.24), the induction machine block diagram depicted in Fig. 2.4b was constructed. 2.3.3 Synchronous-retating system of coordinates (z, y,0) In many cases, especially when frequency control of the motor speed is involved, it is convenient to analyse the set of equations (2.18)--(2.20) describing tha induction machine by assuming that the coordinate system rotates with the synchronous speed, ie. wx = ws; then the vector voltage equations take the form (2.352) av, = Uy = tri, + Tw + jWs — Um), (2.35b) ‘The flux-current equations and equation of motion remain unchanged, iue., they hold in the (2.228), (2.22) and (2.23) form. In going over to the two-axis model, an essential consideration is the positioning of the reference frame. By way of illustration we shall consider two examples, Example 1. Let us adept a system of synchronous coordinates 1, 2, 0 rotating with angular speed wx = xi in such a way that Syste ter (2.38) ‘This means thet the system 1, 2 rotates concurrently with the stator current vector 1,, the component i,2 Delng equal to zeto (igg = 0) (Fig. 2.5a). Resolving the complex space vectors into components, we have Uy uaF juys, unm tr tite, (2.370) Lstrsi, esta tiie, (2.37) Ws Bart Va, Wr = Der tire, (231) By formulae (2.97), the set of machine equations (2.5), (2.22) and (2.23) can be BLOCK DIAGRAMS 49 fa} Foon, Nini : if concurrently with stator current vector is, (D) block diagram of induction machine in the system of coordinates 4, 2 corresponding to equation (2.38)~(2.40) resolved into components as evar ta 1+ Ty — nae, (2.383) 50 THREE-PHASE INDUCTION MOTOR Ch. 2 ae, toes TSH soo, e286) tier = Prips + Ty SEE — (or mrs (2.38) sees = Petpet Ti BEE + (ns — tomes (2.88) Yar rier H2Mbery (2.3%) tae= ture. (2308) Yet = Erte + OMe (2.88) Wee = tries, (2394) don SF a ete m)- (240) ‘The above equations constitute the hasis for the induetion machine block diagram depicted in Fig. 2.5b. Example 2. Let us adopt a system of synchronous coordinates rotating with angular speed wir = wey such that Wp = te = Bees ean) ‘This means that the system of coordinates 2, y, @ adopted rotates concurrently with the rotor Aux linkage vector tp, where the component try = 0 (Fig. 2.6a). Let us assume, moreover, that it is a cage motor, i : tre try =0, (22) and that it is current-contolled. Current control ct supply occurs quite frequently in practical individual drive systems when an induction machine is fed by a CSL or CCPWt-transistor inverter (ef. Chapter 9). When constructing a block diagram of the machine with such an assumption, a simplifeation can be made by omitting the stator circuit voltage equation (235), ‘Under these assumptions, the vector equations (2.35b), (2.20) and (2.19) reduce to Om rein + Ty SB + way —omdbe (243) be (2.448) oe (oso) dem (2.45) at Eliminating the rotor current vector from the voltage equation (2-43) (itis inaccessible in the case of a cage motor) and substituting BLOCK DIAGRAMS 51 (a) tb) Fig. 2.6 (a) System of synchronous coordinates 2, y rotsting coneucrontly with rotor fux linkage vector , (Bild coordinates), (b) block diogram of = cage motor in field ‘coordinates 2, y under current control we obtain from (2.440) rau, | au, 0a EMG + Ey, py EE + Sleee ome (2.48) ‘Asin the electromagnetic torque expression, the vector ¥, can be eliminated: sn = Imn(wyin) = Tea (GS* (ary Resolving the complex vectors into components 2,9 fees tito, (2.482) = tee tite. = Ure = Ory (2.48) and putting 45 = Om = Wes (249) ‘whore we is the angular frequency of tho rator quantities (currents, induced voltages ‘and flux linkages) also known as the slip &equency, one obtains the set of eage mator 52. THREE-PHASE INDUCTION MOTOR — Ch. 2 equations in the form DEM sy ern EE, (2.808) a tay twee (2.500) Soe = [ein ma] 31) ‘The above set of equations is the basis for caastructing the Block diagram of Fig. 2.6b. The input quantities In this diagram are components igz and ésy of the stator current vector. The outpar quantities are the angular shalt speed wm and slip frequency wr, while the disturbance is load torque mz. Concluding remarks. The examples presented above do not exhaust all possible cases, but serve to illustrate the apptoach and the possibilities offered by the analysis of induction machine equations in a system of coordinates rotating at synchronous speed. It is also worth pointing out that the differences in block diagrams result not only from different alge- braic recalculations but, above all, from the fact that the space vectors of voltages, currents and flux linkages rotate at. constant synchronous speed only under steady-state conditions, i.e. only when wey = Ws: = Way = Ws: On the other hand, under dynamic conditions, which the block diagrams are especially intended to represent, the angular speeds of the different space vectors are different, i.e. wey Wes 7 Wey 2.4 State equations 2.4.1 State equations in nonlinear form ‘Taking the set (2.18)-(2.20) as the starting point and neglecting, for the sake of simplicity, the indices K’ appearing at the vector variables, the general state equation for ac machines can be written as oxo = Alum) X(t) + Bult), (2.82) in) dt 1 Fy BFAD — mooi, (2.53) « STATE EQUATIONS 53 where m = kF(X) = kIm(X{Xp) is the electromagnetic torque (cf. formula (2.13)), X(#) = [X1, Ag] the two-component vector of electro- magnetic state variables, u(#) = [u.,u,] the input function, including as its components stator and rotor voltage space vectors, A(um) the ac machine 2x 2 matrix whose elements are functions of speeds wx and wm, B the 2x2 input function, w(t) the machine shaft angular speed, mz(t) the load torque, and & a constant dependent on the choice of state vari- ables. The vector components Xj, Xz may be any two vectors arbitrarily selected from among the linkage flux vectors tp, ,, yg OF current vec- tors ir, igy i. The input is always a pair of voltage vectors u,, up, and the disturbance a load torque mz (Fig. 2.7). yoy etoop (anh =p Fig. 2.7. Block diagram of the induction motor corresponding to state equations (2.56) and (2.57) : Brample 1. Taking as the state varlables the stator and rotor Aux linkage vectors X=, and Xe, yields £[g}]-en [t]-2[2)- | Som = che [2 imeve,) ~ mel] ‘where the elements of the matrix A(wm) are ek — om) bu = bas ba = bn =0. Note that state equations (2.54) ate written in abbreviated form and include com- plex space vectors, which have to he rasalvad into their real and imaginary components in a suitable coordinate system. 54 THREE-PHASE INDUCTION MOTOR — Ch. 2 Beample 2. Let us adopt a fixed system of coordinates a, . Then, for wx = 0 and ‘taking into account (2.26a) and (2.26e), state equations (2.54) may be resclved into Ya ww nate 2] ee oo @ lee} | ree vrs rey 100 07 uey a 0200] fu AEE} 0003) Lug. dum 1 fem SE = [Be trcevee - troten) = me] 2.4.2 State equations in linearized form In keeping with the discussion in Section 1.12, the induction motor equations can be linearized in the vicinity of steady-state operation. Example, Let us adopt a synchronously rotating system of coordinates 2, v. Then, for wx = we, state equations (2.54) can be resolved into two components to obtain mg Pete ‘der w te we wm [=] i rae | Len " (256) ‘The above set of equations is nonlinear. To perform linearigation, we introduce, in line ‘with equation (1.126), the notation: Yor = Vex + BVas, Yay = Yoyo t Ways Gee = Uren + Aves, ry = eyo + Mey, (287) em = smo + An 5 a t EE per et a PROPERTIES OF INDUCTION MOTORS IN STEADY STATES 38 where the index 0 denotes fixed quantities and A represents deviations. Then ne- cting the equations for steady-state components and higher-order expressions (4°) {eaves 2 set of linear equations which can be reduced to the form (1.1828): &(t) = Aa(t) + Bu(s) + Bale), (asa) where Ader Ave fall a()= | Ave |. w= | AuZ], d= Sen. (2.59) Adey laa dom Ty ie ws rem 0 o S| “tee 7 rere 7 A =: 0 vee |, (260) ° eM —Yrs0 aaa 1 2M, Tu w rv 5, es o (61) Note that each stationary operation point soto, do) has different values of et ‘ements of matrix A (3, B) corresponding to it. 2.5 Properties of induction motors in steady states In designing drive systems with induction motors, it is absolutely es- sential to define, for example, currents or torques developed at various operating points in steady states. Assuming that the motor parameters are known, the relevant calculations can be performed using the general vector equation of the induction motor. : ‘Under steady-state conditions, the voltage, current and flux linkage vectors rotate relative to the stator at constant synchronous speed (ws Wa; = Wey = Wey = Const.). Assuming moreover that all the waveforms in the motor are symmetric and sinusoidal, then, in accordance with the discussion in Subsection 1.5.2, the space vectors become formally identical 56 THREE-PHASE INDUCTION MOTOR Ch. 2 with the phasors. It Is then possible, in this particular case, to make use of the classical symbolic (complex number) method. Above all, the space vector diagrams become identical with the phasor diagrams so readily employed in the steady-state analysis of induction motors. Remark. In the discussion that follows, no distinction will be made between space vectors and phasors. Thus, wherever steady states are in- volved, complex quantities will be interpreted as phasors. 2.5.1 Equivalent circuits and phasor diagrams It follows from the induction motor vector equation in the synchronous coordinate system, ie. wx = w,, that under steady-state conditions all vector quantities remain constant. For that reason, the time-related derivatives in the voltage equations (2.18) and in the equation of motion (2.20) must be neglected. Thus one obtains a set of algebraic equations, in relative units, which describe steady-state motor operation: u, + jwatb,. (2.628) Uy = Pedy + Ws — wn) Wey (2.62b) y= esl, + nek, (2.638) Pte = Sop + oii (2.63b) 0 = Im(Wji,) — mz. (2.64) After elimination of flux linkage, the voltage equations (2.62a,b) can be written in the following general form: ay = rahy + wales — atin + Swearing » (2.654) atu, = jwsaryin + [iestte, army) + Sar, (2.65b) where wy = fy = Wy — iy is the rotor angular frequency, also known as the slip frequency, } ig =i (2.66) is the magnetizing current, and a an arbitrary constant. ‘Equations (2.68a) and (2.65b), frst derived by Yamamura [61], demon- strate that there can be no one induction motor equivalent circuit! This is PROPERTIES OF INDUCTION MOTORS IN STEADY STATES 57 because adoption of different values of the constant « results in differont equivalent circuits. Bzample 1. An equivalent circuit based on main flus linkage ‘Adopting » cage rotor motor for which uy = 0 and putting a — 1, the voltage equations (2.65) can be writtan ae us = (ra t jietata)in + joerasing (2.87) Om joseasine + (roS2+ isons in, (267) while magnetizing current (2.65) can be written as "i sie tin (2.68) 1a the above, On the basis of these equations, one can construct the equivalent circuit depicted in Fig, 2.82, corresponding to the familiar single-phase form of the equivalent circuit of a transformer-type cage motor, with the iron losses represented by the resistance re (dashed line in Fig. 28a) It should be borne in mind that: — all quantities are expressed in relative units for which, among others, the fel- lowing identities hold: ! = 2, w= fr — the diagram is good only for steady states under sinusoidal voltage supply; — the reactances are determined for the nominal frequency fw = 50 Ha; — the cireuit includes constant clements, but the presence of parameters w and er /iwe underlines the fact thot the circuit is correct in a general case for an arbitrary stator supply angular frequency wy and loads ws — It Is only In the particular case of a motor fed with coustant frequency voltage equal to the nominal frequency, i. fy = wy = 2, and following the Inzroduction of stp: & > (2.69) which i then equal to rotor angular frequency why (2:0) that the circuft becomes the single-phase equivalent-transformer eircult of an induction motor as used in the classical theory of electrical machines [23, 28, 38). Denoting the inner voltage induced by the main Six as ale ene = alaciag = juothas » en) cone obtains the phasor diagram depicted in Fig. 2.8b. This diagram corresponds to the ‘equivalent circuit of Fig. 2.8a and holds under the same assumptions and constraints. 58 ‘THREE-PHASE INDUCTION MOTOR Ch? (b) Fig. 2.8: (a) Equivalent circuit of induction motor based on the main fux linkage, (b) phasor diagram | Beample 2. An oquivalont circuit based on rotor fluz linkage Ir we adopt a = 2xr/xe, the voltage equations (2.05a, b) for a cage motor take the form (ers) Om jon (2) “srinee# Mtr (SHE, om) where 22 — (za¢/2¢)¢a¢ =o is the total leakage reactance. The magnetizing current is in this case expressed as PROPERTIES OF INDUCTION MOTORS IN STEADY STATES 89 (b) Fig. 2.9; (a) Equivalent circuit of induction machine based on the rotor flux linkage, (©) phasor diagram From equations (2.72a,b) one can construct the equivalent circuit of Fig. 2.9 and the phasor diagram corresponding to it (Fig. 2.9b). The circuit has the following ropertie — it is asymmetric and corresponds to a machine with no leakage in the rotor, i + = 0, while ail leakage occurs in the stator. je. ¢ = ast — consequently, for a real machine for which o sé Qj‘ rotor quantities have 19 bbe recalculated by taking account of the leakage ratio: 1/(1 ~ e+) = za¢/zr = a3 — the main reactance z3y was formally replaced by recalculated rotor reactance (eur/a-P ari — the recalculated rotor current (2;/aa¢)ir is perpendicular to the magnetizing current ings (cf. equation (2.726)}; 60 YTHREE-PHASE INDUCTION MOTOR Ch. 2 — because of this. the circuit illustrates decomposition of the stator current Jy into the fickdoriented components: faz = faze which forms the fux Wy. and fay (2+/zag}i- which controls the torque developed by the motor (ct. Fig, 2.66). ‘The total leakage reactance os appearing in the circuit of Fig. 2a is the sum of stator end rotor leakage reactances which occur in the case of the equivalent circuit of Fig. 2.88: ony er2e + o4ts- (aay ‘The reactance z, is often referred to as the transient nuactonce. Compatisoa of the voltages on the transverse arms in Fig. 2.9a yislds the rater puleation expression wea Tee (225) Eliminating the rotor (slip) frequency from the second term of equation (2.728) by dividing the rotor resistance into two components: Dearing in mind that er = re(e44/t-)?(wm /u)ie(te/244). Then using (2.73) and the fact that -(@e/44), one obtains eee (2.77) ‘Tho inal forms of thd voltage equations (2.178) are Bes res tiasoesis + (2H) feauel (2-780) 0= os (28) setae re) pS — en. (788) ‘The above equations lie behind the equivalent cirenit and the phasor diagcam of Figs. 2.10a and b. The circuit of Fig. 2.10a corresponds to the equivalent circuit of a shunt de motor, with is» corresponding to the exciting current and izy to armature Current. The circuit does not include rotor angular froguency wr, while the load state is given by the value of the component i, or the load angle 6 associated with It. The electromagnetic power can easily be calculated a3 Pom riya om Bh tay, em) and nence he toraue (2.80) PROPERTIES OF INDUCTION MOTORS IN STEADY STATES 61 @-m0re ton pg /tg) Fig. 2.10: (a) Equivalent circuit of induction machine based on oquations (2.782,b), (b) phasor diagram An important property of the equivalent circuit of Fig. 2.10a is the possibility, created by the formal substitution jue = p= d/dt, of moving from phasor diagrams 1o space vectors, Le. to the description of dynamic states. This is because, by adopting the fluxes 1p, and W, as state variables, it is possible on the basls of the circuit of Fig. 2.10a to write = (2.813) sade 2 te (280) [a yoy2Hty, 9] te. ast ‘The above equations are space vector equations which deseribe the dynamic states of an induction motor. They can also be derived directly from the set of equations (2.18)-(2.20). eo ‘THREE-PHASE INDUCTION MOTOR Ch. 2 rage onaRtce | eu MeO Aes Oh Fig, 2.11: Per-phase equivalent circult of an Induction motor with inner voltage er Neglecting the main inductance in the circuit of Fig. 2.10a, one obtains the one- phase equivalent circuit with inner valtage 2, (bad emf) as shown in Fig. 2.11. This Clieuit is often employed in the anslysis of inverter fed induction motors, : 2.5.2 Steady-state characteristics ‘The equations of steady-state characteristics of the induction motor can be derived in a number of ways, differing in the kind of quantity | expressing the torque (e.g. the amplitude of stator voltage |u|, ampli- tude of stator current |i,|, or main flux linkage {th,,|, etc.) and in the extent to which the effect of all motor parameters is taken into account (eg, the effect of the stator circuit resistance is quite often neglected). In the classical theory of electric drives, the motor characteristics are ex: ‘pressed in terme of the stator voltage amplitude because this corresponds to the most frequent casc of supply from a voltage source with constant or controlled amplitude. Steady-state characteristics under voltage source supply. Let us con- sider the general equation expressing the torque developed by the induc- tion motor: m= Im(3i,). (2.82) The equivalent impedance on the stator terminals is independent of the factor @ selected because the voltage u, and current i, are the same in all types of equivalent circuit. It is only the state parameters of the secondary circuit and the effects taking place’in it that depend on the parameter a. For that reason, for example on the basis of the equivalent circuit of Fig. 2.82 and neglecting the stator resistance r, = 0, it is possible to L..... PROPERTIES OF INDUCTION MOTORS IN STEADY STATES 63 express the equivalent impedance on the stator terminals as juste (rey fdr tise) Poids fide + Waa which, after appropriate calculations, yields the stator current expression . (2.83) Be = jar, + Bye LL fare) me (Fe oae)/Te a On the other hand, it follows from equation (2.62a) that, ifr. =0, “p= (2.85) er" Substivuting (2.84) and (2.85) in the torque formula (2.82) and per- forming the necessary transformations gives ayy) ts Berit ee) oe (2.86) (5) wants. (286) Calculating the torque derivative relative to the slip angular frequency and equating it to zero (ie. setting dm/dw. = 0) yields the angular frequency corresponding to the breakdown (or maximum) torque my on,” which makes it possible to write formula (2.86) in the classical form known, as the simplified Kloss formula Woe = (2.87) 2 7 Ta (onan a where ‘Us A im l-ol Tal] (3) Dozkz, ~ 2a (289) The following properties arise from the mechanical characteristics equa- tions (2.88) and (2.89): — the breakdown torque is independent of rotor resistance; — the breakdown slip angular frequency is proportional to the rotor resistance; 64 THREE-PHASE INDUCTION MOTOR Ch. 2 — at constant supply frequency (w, = const.), both breakdown torque and the torque developed by the motor is a square function of stator voltage amplitude; — under the mode of control where constant u,/w, is maintained, the breakdown torque remains constant. rs Fig. 2.12: Steady-state characteristics of voltage-fed induction motor: (a) torque char- acteristics obtained from the Kloss formula, (b) stator current characteristics corre- ‘sponding to them : For small values w « wr, the mechanical characteristics equation (2.88) can be approximated by a straight line equation (Fig. 2.12): m/rmy, = 2uv-/are. Thus, in this range of slip angular frequency, one talks of ‘the shunt characteristic of the induction motor. On the other hand, for + PROPERTIES OF INDUCTION MOTORS IN STEADY STATES 65 wip @ wee, one obtains m/rix = Qwrk/wr, the hyperbola equation. The peak torque value is obtained for w, = tw. Typical mechanical char- actetistics corresponding to equation (2.88) can be seen in Fig. 2.120. ‘Tho values of slip angular frequency 0 < uw < 1 mean that the rotor lags relative to the magnetic field rotating with the synchronous speed wy, In such a case, the currents with angular frequency w, = Ws — Wm; induced in the rotor, produce a torque which tends to reduce w,, ie. work in the direction of rotor movement (positive). At the synchronous point, uy = 0 and the drive torque decays. Negative values wy < 0 can occur in steady states only when the rotor is driven from outside attaining su- persynchronous speed. In such a case, the currents induced in the rotor produce a braking torque, i.c. the induction motor operates as a genera- tor supplying power to the supply network. While rarely employed under conditions of steady-state operation, this range occurs quite frequently ‘under regenerative braking in controlled drives. Substituting from formula (2.87) into (2.84) for the modules one ob- ‘aims T+ (erlourl® to V TF dea (290) where is = ts/usts. The stator current characteristics corresponding to (2.90) are shown in Fig. 2.12b. The current waveform remains a unique function of w, /w-x. Te is noteworthy that the operating range (w,.v/wrk) employed in practice includes only a small portion of this curve. At large slip frequencies, for ‘example at start-up when a motor is supplied from a 50 Hz industrial line, we have w, wy = 1 and the stator current increases by several times (up to a dozen or s0) relative to the nominal value. ‘The mechanical characteristics are often represented as the dependence wm = f(m), as shown in Fig, 2.13. The additional assumption made in this case is that the induction motor is supplied with a voltage of con- stant amplitude and frequency, ie. u, = 1 and wa:= 1. This corresponds to the conditions of 50 Hz line supply, and the telative rotor angular frequency comes to be identical to the slip w, = 3. The dashed line in Fig. 2.13 represents the characteristic for the opposite direction of mag netic field rotation. This is effected by inverting the sequence of the two stator phases. ‘THREE-PHASE INDUCTION MOTOR = Ch? characteristics of an induetion motor supplied with constant Seeeee ewe x 1s Px — operation point oa stable (shunt) Sy eae poration point on unstable part ofthe characteristics) “loed tongue lager than starting torqve: mr > Mat) It must be pointed out that only the shunt part of the characteristic is stable. When the breakdown slip ox (or, more generally, wrk) is exceeded, the operation point (e.g. P2) is in the unstable part and, if the external torque is largor than the starting torque mr > Ts» the motor will stall, Ee. the rotor will come to a stop and a very large current will flow in the vate cirouit (cE. Fig. 2.12b for w/wei > 2) ‘Steady-state characteristics under other control modes. From the volt age and fex-current eauations (2.02) and (2.63) ope €&% find the torque OE Jped by a raachine by representing it, as has been done above for the Stator voltage, a5 a function of the amplitude of only one electromagnetic Sariable, e.g. Urs Ua Way ts OF ir- Them wo obtain ill | PROPERTIES OF INDUCTION MOTORS IN STEADY STATES 67 bom au, (2912) a Fie (2.91) nn ae i ye @ate) : (2.918) It follows from (2.912) that the torque developed by a machine in the course of its operation with constant rotor flux linkage amplitude is a linear function of the (rotor) slip angular frequency w-. Consequently, ) there tno breskdowa torque and, by the same token, no stalling of the machine. From the remaining expressions (2.91) we obtain, by comparing dm/div, to zero, the following breakdown slip frequencies: (2.928) (2.920) (2.92c) (2.924) The characteristics of torque m as a function of induction motor slip frequency w,, which correspond to equations (2.91), are represented in Fig. 2.14. It is noteworthy that when the machine is supplied with con- stant amplitude current i,, the breakdown slip frequency is 1/o times smailer than under conditions of constant voltage amplitude (uy = 1) or constant stator flux linkage (W, = 1) operation. Moreover, under condi- tions of rated stator current value i, = 1, the motor already operates in the high saturation range (point A in Fig. 2.14), attaining the rated flux . 68 THREE-PHASE INDUCTION MOTOR — Ch. 2 elise eg Pe ent tae Fig. 2.14, Torque-rotor (slip) frequency characteristics for induction motor under dif- {erent control modes value (e.g. ti, = 1) in the non-stable part of the characteristics (point 5). ‘The important conclusion that follows from this is that. in contrast to the case of feeding from a voltage source, a stator current fed induction motor cannot operate in an open-loop control system. 2.6 Speed control by changing the supply frequency Change of the induction motor stator frequency f, brings about a change of the field synchronous rotation speed w./p, = 27 f./py and the no-load speed. Based on the simplified (rs — 0) Kloss formula, the linear part of the mechanical characteristic can be written, for wp < wre, aS (2.93) ‘The following special cases exist: : — Operation at the rated voltage and supply frequency: ue = 1, ws (see characteristic a in Fig. 2.15) wm =1—sym. — Angular speed control below the rated value with proportionality SPEED CONTROL BY CHANGING THE SUPPLY FREQUENCY 69 (al (ay sar oh e a o a Fig. 2.15. Characteristics for frequeney control: (a) stator voltage and flux versus eupply frequency, (b) mechanical characteristics between the stator voltage and frequency, and constant stator flux linkage being maintained: w/v, = const. (characteristics 6 in Fig. 2.15) Um = We — sym. ‘The no-load speed is proportional to w. = 2rf., the stator supply frequency. Load-induced lowering of the speed corresponds to the slope of the natural characteristics. A family of parallel curves is then obtained. — Increasing the angular speed to above the rated value, while main- taining a constant. stator voltage by reducing the stator flux linkage: ig = 1, wg > 1, Ya = 1/tvs (charactoristics c in Fig, 2.18) Wim = We ~ sym. ‘The inclination of characteristics ¢ is greater than of characteristics b. Comparison with the mechanical characteristics of a separately excited dc machine (see Section 4.4) shows that the fields of characteristics of both machines are similar. It has to be kept in mind, however, that when calculating the characteristics in the range of low stator frequencies the stator resistance should be taken into account. Ac a result, the u,/ws characteristic is no longer a straight line (Fig, 2.15). ‘The frequency control of induction motor is thus characterized by step- lees angular speed control over a wide range, and it is the most econom- ical of all control methods, but requires the use of supply source with adjustable voltage and output frequency. 3 Three-phase ac synchronous motor 3.1 Design and major types ‘The synchronous motor usually has an ac-fed three-phase winding in the stator, like the induction motor (cf. Section 2.1). On the other hand, the rotor includes an excitation winding, known as the field maguet [23, 38), which is fed with direct current via brushes and slip rings. Depending ‘on the design involved, there are salient-pole and nonsalient-pole (round rotor) motors. Fig. 3.1. Nonsalient-pole (round-roter) synchronous motor design; A, B,C — three phase stator winding, f — excitation rotor winding, § — stator, H — rotor, 2m — angular rotor speed ‘The nonsalient-pole motor (Fig. 3.1) has grooves on some two-thirds of the rotor circumference to hold the excitation winding f. The rotor is a cylinder of uniform diameter, so that the air gap between the rotor and stator is also uniform. Thus the reluctance for the magnetic flux across ‘the air gap is likewise nearly uniform over the entire circumference, and : DESIGN AND MAJOR TYPES a the motor exhibits magnetic symmetry. Nonsalient-pole motors usually have two or four poles (pp = 1 or py = 2), which, under 30 Hz supply conditions, correspond to angular speeds of 314 I/s or 157 1/s (3000 or 1500 rev/min). Fig. 8.2, Salient-pole synchronous motor design ‘The salient-pole motor has an asymmetric rotor (Fig. 3.2). Conse- ‘quently the air gap between the stator and rotor is not uniform, and the reluctance for the magnetic flux across the air gap along the longitu- dinal axis (d) differs from that along the transverse axis (q). This kind of motor then shows magnetic asymmetry. Both the pole shoes and entire poles may be made of shoot metal. In such cases, bars are placed on the outer side of the pole shoes, along the shaft axis, and their ends shorted with rings, to produce an additional cage winding. This additional wind- ing acts as in the induction motor, producing torque if the rotor angular speed differs from the synchronous speed of field rotation, i.e., when slip ‘occurs. Advantage is taken of this phenomenon in the motor stert-up phase ot in damping rotor position vibrations on shock load changes. ‘This winding is therefore called the starting cage, or damping cage. Not infrequently the pole shoes are made of solid iron, in which case no addi- tional cage winding is necessary as the solid iron block represents a large number of shorted circuits. Salient-pole motors are usually designed for low-apeed operation and therefore include a large number of pole pairs. R ‘THREE-PHASE AC SYNCHRONOUS MOTOR Ch. 3 Permanent-magnet motor. Synchronous motors excited with permanent, magnets have become quite common in recent years in adjustable-speed drives of low and medium power. The development was made possible by the introduction of new magnetic materials, e.g. the rare earth magnetic materials such as samarium-cobalt or neodymium-iron-boron (NdFeB), which are characterized by excellent parameters. ‘The stator of a permanent-magnet motor has a conventional three- phase winding. The rotor can be designed with interior magnets or with surface magnets. Rotors with interior magnets ensure flux concentration and are therefore considered as salient-pole type. The surface magnet motors may be considered to represent the nonsalient-pole type. ‘The most important advantages of permanent-magnet motors include higher efficiency (no need for excitation circuit supply), smaller overall dimensions and, by the same token, lower moment of inertia. The main disadvantage, on the other hand, is that there is no direct possibility to adjust the excitation, for example, to ensure operation with optimal power factor (cos = 1). Reluctance Motor. This is 2 synchronous motor with calient-pole rotor but with no excitation winding. Thus only the zeluctance torque is used, which can be determined from formula (3.1) for the synchronous mo- tor: 2 2 ago ty we tq/ta uh ts 1 te/fa Ue 2 tat aaa Pr aaah (8.1) ‘This torque is proportional to the sine of the load angle 26, measured between the stator field axis and the longitudinal rotor axis, and to the expression 1— #_/tq. As the reactance ratio z@/zq increases, the mo- tor reluctance torque also increases. In typical salient-pole synchronous motors, this ratio is 1.5-2.0, which does not ensure sufficient torque capa- bility in the case of a reluctance motor. Using appropriate rotor designs leads to large magnetic asymmetry and thus reactance ratio c4/tq of 412. Having no excitation winding in the rotor, the reluctance motor must be excited on the stator side, like the cage motor. For that reason, it is characterized by » low power factor, in the 0.6-0.85 range. EQUILIBRIUM EQUATIONS IN PER UNIT SYSTEM 3 3.2 Equilibrium equations in per unit system ‘The equilibrium equations for the three-phase a¢ synchronous motor can be obtained from the set of induction motor equations (2.18)-(2.20) introduced in Section 2.2. Account should be taken here of the magnetic asymmetry of the synchronous motor and of the fact that in the rotor there is an excitation winding fed with direct current. The schematic representation of the windings (Fig. 3.3) includes, moreover, damping windings. To simplify the mathematical analysis, only one winding on ‘each of the axes is considered (out of the infinity of shorted windings in solid rotor). The longitudinal axis includes the shorted circuit D, and the transverse axis the circuit Q. Because of the magnetic asymmetry of the rotor circuit, the set of synchronous motor equations is represented exclusively in the coordinate system d, q, 0 rotating concurrently with the rotor. Thus, unlike the case of the induction motor (which is symmetric), no use is made here of a large number of different coordinate systems. ‘When compiling the set of equations in the coordinates d, q,0, the voltage ‘equations for the rotor circuits are left in their original form. For that reason, it suffices to write them next to the stator voltage equations a 74 THREE-PHASE AC SYNCHRONOUS MOTOR Ch. 3 (2.32 a, b) written out ia the d.q system, which yields the following set: ve Wa ret Ty BE undp, (3.23) tg = Taig + Ty oe ne (3.20) erst rte w= rsig Tw (3.3) di O= rin + Ty EP, (4a) 0=reig+ rite (3.4b) For the sake of simplicity, the index s is omitted in the stator equations, as there is no possibility of the relevant quantities being confused wit rotor quantities (ef. Subsection 2.3.2). The damping windings are shoried circuits, hence up = ug = 0. By analogy with the induction motor (2.19) and considering Fig, 3.3, the flux-current equations can be written as Ua = taia + apis + eepip, (3:58) Ug = Ealy + 2QiQ, (3.5b) tis + tari + zypip, (3.6) Up = zip + z;pis + tania, (3.72) Yq = 2eig + 2qQig. (3.7) ‘The motion equation remains as datry L : BET Fyll Yate + vate) ~ mr). (33) Taken together equations (3.2)-(3.8) describe the synchronous motor in the system of coordinates d,4q,0. When using and interpreting this set ‘one must bear in mind that: — With the exception of time, ali other quantities are expressed in pu. I. ~— Whenever zero components occur, they have to be taken into ace count by introducing an additional stator voltage equation. 4 sig + Tye ug = an (39) BOQUILIBRIUM EQUATIONS IN PER UNIT SYSTEM 7% Fr lwits t0 the adoption of rotating coordinates 4,9,0, the stator and rotor windings, lying on the d and q-axes respectively, remain mo- Honless relative to each other. For that reeson, they can be treated as tance, eg. y= Sexbalen — Put. value of self reactance (known as synchro- ew ous reactance) on the d-axis, Dare Mag Tape : Fay = A — Pu.’ value of rautual Teactance of the windings On on the d-axis and of the excitation, Values of the relevant quantities for the stator circuit, ¢.g. for voltages VU stema)n- This Teaus; in particular, that all rotor quantities must be recalculated to the stator circuit roe “i? the resistances and reactatices are referred to the hase reac. tance recaleulated to the stator (Z, = s/h, Uw [Tow = Za). For example, the excitation voltage equation in absolute (dimensional) units has the form Us = Ry + a, (3.10) Division by tho reference unit U,y yields Poly (3.11) dy uy = ripe Tye, (3.12) 16 ‘THREE-PHASE AC SYNCHRONOUS MOTOR — Ch. 3 In a similar way one can introduce p.u. into the voltage equations of damping circuits and the stator circuit and obtain the forms (3.2)-(3.4). 3.3 Block diagrams ‘The starting point for the construction of block diagram of a syn- chronous motor are the equilibrium equations (3.2)-(3.8) introduced in Section 3.2 above. These equations can be transformed or simplified to yield different forms of block diagrams. This will be illustrated with two ‘examples. Block diagram of voltage-controlled synchronous motor. Assuming that the relative mutual reactancos on the d-axis are equal, i.e fay = tan = 2p = tae (3.13) and taking into account the division of flux linkages into main and leakage categories, equations (3.5)-(3.7) ean be written as ba = tam (ia + iy tip) + Zeta = Va + oor (8-14a) Ag = aatlig + #9) + alg = Vobt + Vee» (sb) by = wand (ia + ig Fin) + Brig 3 Bast + Vp0r (3.15) bp = tanr(ig+ ip tip) + 2pip = Yaa +%d0, (3-162) = tautlig + ig) + tQiq = Yam + Hae (3.16b) By integrating equations (3.2)-(3.4), one can calculate the flux linkages VasUq¥s,¥p and Yq. Then from equations (3.14)-(3.16) we have — stator current components a — currents in the damping coils mip= Wan vo). ~F side veh 28) — excitation current ip = Ls — daw. (3.19) BLOCK DIAGRAMS: 7 Mig: 34 Block diagram of veltage-controlled synchronous motor in d,q system Ay block diagram consistent with equations (3.2)-(8.4), (3.8) and (9.14)-(8.16) is represented in Fig. 3.4. The input signale are stole, vole 22 components us and u, and excitation voltage uy; the output i the angular rotor speed im, and the disturbance external torque a, Sometimes, for example in the analysis of some frequency control sys tems, the effect of damping circuits plays a secondary role. Then the Gescription of @ synchronous motor constitutes a particular case of the Set of equations (5.2)~(8.8), m which one makes the assumption that ip = ig = 0. This simplifies the relevant equations, as well a reducing 8 THREE-PHASE AC SYNCHRONOUS MOTOR Ch. 3 their number from eleven to seven. Also the block diagram becomes a particular case of the diagram of Fig. 3.4, where the part comprising the damping circuits is then omitted. Block diagram of current-controlled synchronous motor. Whenever & synchronous motor is supplied from current-controlled frequeney convert ers, it is convenient to make use of a block diagram in which the inputs are stator current components iy and é,. Similarly, the excitation circult is supplied from a current-controlled line-converter, which makes it possible to treat current iy also as an input quantity. Under these assumptions the voltage equations (3.2) and (3.3) for the current-controlled circuits can be omitted in the description. In such a case the set of equations describing the synchronous motor includes, besides the motion equation (3.8) and flux-current equations (3.14)-(3.16), only the two damping cir- cuit voltage equations (3.4a) and (3.4b). A block diagram corresponding to these equations is depicted in Fig, 3.5. In addition to rotor speed wm; the voltages us and tt, are sometimes also considered as output quanti- ties. Fig. 2.5. Black diagram of eurrent-controlled synchronous motor in 4, q coordinates se en EO PROPERTIES OF SYNCHRONOUS MOTORS IN STRADY STATES 9 Further simplifications of both the set of equations and the correspond- ing synchronous motor block diagram can be achieved if the effect of the dainping citouite ic omitted, ie. if ip = ig =0. 3.4 Properties of synchronous motors in steady states In Keeping with the discussion presented in Subsection 13.2, and as- suming symmetric sinusoidal waveforms, space vector equations can be ‘used in the analysis of steady states. In such cases the sinusoidal quan- tities expressed in the rotor coordinates d,q assume constant values. For that reason, the time-related Bux derivatives dyja/dé and dy,/dt in equa- tions (3.2) and (3.3) are equal to zero. Tn a similar way, the currents in the damping circuits also vanish (ip = ig = 0). Thus, in steady states, the set of synchronous motor equations ($.2)-(3.8) becomes ug = tata t Omg, (3.208) 1g = Maly — Umnbas (3.200) uy =ryis, (3.21) a= Taig + Dapiz, (3.228) Yq = Baha, (8.22b) m Abaig + Voie (8.23) 3.4.1 Equivalent circuits and phasor diagrams Substitution in the voltage equations (3.20a, b) of the flux linkages Ya and wq (3.220, b) yields relat intel (3.248) Tyig — Wmidia ~ Wmtagiy —imadia— es, (3-24) Ua where ey = Wmitajt? is the internal voltage induced by the field magnet flux. Equations (3.24) form the basis for the construction of a salient-pole synchronous machine equivalent circuit and phasor diagram (Fig. 3.6). ‘THREE PHASE AC SYNCHRONOUS MOTOR =O. 3 aa Fig. 8. Equvatent circuit and phasor diagram for salient-pole motor (54 #0) tate involved, both the rotor voltage and current com> ponents are negative:"The components us and Ug form the stator voltage aoaee shifted relative to the internal voltage es on the a-axis by angle §, known as the synchronous motor load angle. On the other hand, the components ig and i, go to form the stator current vector i,. The voltage vector Us is rotated relative to: ‘the current vector i, by angle ¢- ‘The steady-state torque is calculated from equation (3.23). Taking. y=, one can determine from equations (8.20) the flux components For the operating st van 3h, a= = (3.25) Ii follows from the phasor diagram of Fig. 3.6 that tug —ussind, Ug = Us 6086: (3.26) hence i nae wesing (3.27) > Ye Wm wm ba PROPERTIES OF SYNCHRONOUS MOTORS IN STEADY STATES a1 From the torque expression (3.23) and relations (3.220, b) aad (8.27) one obtains, after rearranging, Use? Bente YE m aan itl gage i sin 26 (3.28) a smehronaus torque reluctance torque Similarly, combining equations (3.222, b) with the torque expression (3.23) one obtains m= -Taisig Lapista + Zatate- (3.29) (On the basis of the phasor diagram one can write Hiysinf6+y), i =—tscos(5+¥), (3.30) which, substituted in (3.29), yields m = tayivig cos(S + 9) — 3(@a— tai S25 + 9). (3.81) creo toner ran Tae Equation (3.28) expresses the steady-state torque for the voltage-fed cynchronous motor, while (3.31) expresses the torque for the eusrent-fed veton In both equations two characteristic components can be distin- guished: : none, known as the synchronous torque, depends on the value of ‘excitation (ey in (8.28) and iy in (3.31)); eee other, called the reluctance torque, is independent of excitation and is proportional to the difference betwoon longitudinal and transverse reactances (ta — a): “The reluctance torque is ulitized in reluctance motors which operate without excitation. In nonsalient-pole motors, the longitudinal reactance Jp equal to the transverse reactance, 24 = 2g ~ 7», and the reluctance torque is zero, Moreover, the stator circuit voltage equations (8.24a,b) can be seplaced by one vector equation uy = rake tH iwmitals tier. (8.32) wwhoro Uy = tig + july and i, = ig + jig. This equation is the basis for con Structing the nonsalient-pole motor equivalent cireuit and phasor diegram of Fig. 3.7. i 82 ‘THREE-PHASE AC SYNCHRONOUS MOTOR = Ch.3 “Hot = Sak : Dever Fig. 27. Equivalent circuit and phasor diagram for nonsalient-pole motor (22 = Ze =2,) When interpreting Figs. 3.6 and 3.7 it should be kept in mind that they represent space vectors which, in keeping with the principles adduced in Section 1.3, become formally identical to phasor diagrams if steady states are considered under the assumption of sinusoidal and symmetric waveforms in the motors. However, compared with phasor diagtams, space ‘vectors have a number of advantages. Thus, in interpreting the diagrams of Fig. 3.6 as phasor diagrams, the load angle 6 is defined exclusively for steady states. If, on the other hand, the same diagram is interpreted as representing space vectors, the angle 6 is defined also for arbitrary dynamic states by quoting instantaneous values of phase voltages and currents. The point is that in the construction of the diagram the basic ‘quantities are space vectors u, and i, which, by virtue of their lengths and mutual positions, fully define the diagram. This is because the lengths and mutual positions of space vectors are independent of the choice of coordinates and are unequivocally defined for instantaneous waveforms of any shape (Section 1.3). For that reason, the concept of load angle 5 can be extended to dynamic states even under conditions of nonsinusoidal stator voltage and current waveforms. PROPERTIES OF SYNCHRONOUS MOTORS IN STEADY STATES @ to) Paap [s>o:a<0 lpcciaee ig, 36. Rangee of synchronous motor operation: (2) load angles phase shift engles ¢ = <{e, te) In keeping with relation (3.28), the load angle is positive in the mo- toring range and negative in the generating rango (Fig. 3.8a). Depending ‘on the phase shift angle y (Fig. 3.8b), the synchronous motor may be operated in various ranges. When the current vector i, is positioned as in Fig. 3.8b, the motor operates in the motoring range with over-excitation, Le, ts > LI y > 0, the motor is at wader excitation, ic., iy <1. Finally, when |y| > 7/2, the motor operates in the generating range. 3.4.2 Steady-state characteristics ‘The torque developed by a synchronous motor depends not on its shaft rotation speed but on the load angle 6. For that reason use is made in practice of angular characteristics of a synchronous motor which, under supply from a voltage source, are described by equation (3.28). The max- imum synchronous torque Minas = HL (3.33) hea is linearly dependent on the supply voltage uy (whereas in the induction machine, 9 square dependence is involved). It follows that the synchronous motor is less sensitive to supply voltage variations than the induction motor. At the same time, however, the maximum synchronous torque depends on er and vanishes in the absence of excitation. The angular a4 ‘THREE-PHASE AC SYNCHRONOUS MOTOR = Ch. 3 characteristics of a nonsalient-pole motor are depicted in Fig. 3.9, The parameter here is the external voltage induced by excitation flux ¢y. For load angles 6 > 1/2, the angular characteristic has a negative slope. This is the instability range, which cannot be used in practice. For a salient- pole motor, the torque expression has to be modified by the inclusion of a component corresponding to the reluctance torque (3.28). This leads to diagrams such as those in Fig. 3.10. It can be seen that the resultant characteristics are deformed as compared with those of Fig. 3.9, but, under no excitation conditions (e, = 0), a reluctance torque occurs. en pon Two oon Fig. 3.9. Torque-toad angle curves for nonsalient-pole motor Similarly, on the basis of expression (3.31), one can consider the angu- lar characteristics of a current-fed synchronous motor. In practice, how- ‘ever, it is more convenient to make use of a somewhat different form of (3.31), especially under conditions of feeding from a frequency converter: m= wagizis cos(S + y) = wayizis sin br, (3.34) since it follows from Fig. 3.6 that br = nt ty (3.35) ‘The angle 6p between d-axis and stator current vector J, is called torque angle (41). SPEED CONTROL 85 Fig. 3-10. Torque-toad angle curves for sallent-pole motor Equation (3.34) points to different possibilities of shaping the static characteristics of the machine, For example, one can maintain the angle 5r = 7/2 and excitation current iy = 1 constant, and control the torque by adjusting the amplitude of the stator current 4, 3.5 Speed control ‘The angular speed of synchronous motor shaft rotation is given by the formula Wm = SE, (3.36) = (3.36) In steady states, the speed can be controlled by changing the supply frequency w = 2xf,, with no use being made of control by changing the number of pole pairs ps. It can be seen from equation (3.28) that when a controlled voltage and frequency supply source is used such that the condition 4/w, = constant holds, the angular characteristic of the machine does not change and the torque is independent of the shaft angular speed wr. The static characteristics of a frequency-controlled synchronous motor are presented in Fig. 3.11. As in the case of induction and separately excited de motors, In the range of speeds above the nominal speed, control is possible at weakened fiux. Frequency control is characterized by a wide and con~ tinuous adjustment range, as well as being economical. The cixcumstance 86 ‘THREE-PHASE AC SYNCHRONOUS MOTOR Ch. 3 | ~, ei Th ° 7 3 ee Fig. 311. Tergue speed curves for froquency-controlled synchronous motor that the angular speed of a synchronous motor is independent of the load torque is frequently used for group drives, when concurrent operation of a number of motors is required Le 4 Separately excited dc motor 4.1 Design and major parameters ‘The separately excited de niotor has traditionally been the type most commonly used in controlled industrial drives. Its design is represented schematically in Fig. 4.1, with the layout of the windings. The main pole ‘windings (excitation winding—1) ore in the stator $ and carry the ex: Gtation current which acts to generate flux V7, ciosed by the zocor R ‘and the stator. A pack of grooved sheets is placed on the rotor shaft. the grooves holding the armature winding which is fed via the commutator and brushes Br with the armature current I. This produces a distributed MMF vector (I), constant in space and oriented towards the brush axis. While the mechanical commutator ensure particularly advantageous cor- trol properties of the de motor, it nevertheless sets a limit on some of its (a) (o) 7 : 2 7 br * 4 ‘ Fig. 4.1: (a) Separately excited de motor: (b) vector diagram, }—excitation windiag, 2-~compensation pole winding, }—srmatare winding, 4—commutation pole winding, ‘S—staton, Rotor, Br-—bruahes, M—moter torque, %;—excitation flux linkage 88 SEPARATELY EXCITED DC MOTOR — Ch. 4 parameters. Appropriate commutation depends on the current density and peripheral speed, as well as on the voltage induced in the shorted rotor coil and on the commutator sector voltage. The voltage induced in the shorted coll, formed when the brush passes from one commute- tor sector to another, may give rise to discontinuous coil current and, consequently, to an electric arc. To prevent this, use is made of so-called commutation poles (winding 4 in Fig. 4.1), which are connected in series with the ermature winding. These produce an additional magnetic field, which induces in the commutating coil a rotation voltage counteracting the coil current discontinuities and thus reducing sparking, Te is well known that the current flowing in the armature windings geu- erates its own magnetic field, which is relatively small owing to the wider air gap in the q-axis of the motor (brushes). In overlapping the excitation field, this latter magnetic field causes distortion of the resultant field in the machine air gap, an effect known as armature reaction. Moreover, the fast changes of armature current produce large self-induction voltages in the armature coils. These coils are series-connected to one another via closely spaced commutator sectors, which may lead to breakdown of the sector-to-sector insulation and pole-to-pole arcing, also known as flashing. This effect occurs over a tange of suitable large armature cur- rents and field weakening. Whenever these ranges of operation need to be utilized, the machine must therefore be equipped with so-called com- pensation poles (winding 2 in Fig. 4.1). The winding of compensation poles is placed in the pole-shoe grooves, and series-connected with the armature winding. The direction and value of the compensation wind. ing MMF are equal to these of the armature MMF, but in the oppo- site sense. Because of the magnetic coupling between armature and com- pensation windings, the resultant inductance of the armature circuit is smaller. In present-day de motors for controlled converter-fed drives, the mag- netic circuits of the commutation and compensation poles must be de- signed and realized in a way that takes account of the specific operation conditions created by the power converter supply, such as rapid current changes, appearance of large ac components in the load current, etc. ‘There are three critical parameters defining the limits of de motor load capability: the edmissible momentary current, admissible rate of current changes, and maximum angular acceleration. EQUILIBRIUM EQUATIONS AND EQUIVALENT CIRCUITS 89 ‘Admissible momentary current, It is limited by correct commutation conditions, and for that reasoa the admissible momentary current de, pends on the motor design and parameters. It may be double the rated eeNe, Le. 2Zay, for regular motors, 4Tay for motors with compensation poles, and up to 10Zay in the case of speciel motors designed for servo drives. “Admissible rate of current changes. This rate also depends on correct motor commutation conditions. Not only is the slope (dZa/dt) important hove but also the armature current values attained. Therefore this Par ameter is quoted a5 the changé relative to the rated value, Admissible aie of corrent change depend in latge measure on the motor design, and are in the range 100-300JaN/s- ‘The mazimum angular acceleration of a drive. It depends on the ratio of maximum motor torque fo total moment of inertia of the drive (Le. fneluding the machine load). To obtain large maximum angular accelet” aaeecntges, machines with small moments of inertia are made, which have emailer diameters and appropriately lacger leagths. Whenever it is desirable, two machines with a common shaft are used. The maxima’ a1 gular acceleration of normal medium power ‘motors is about 200rad /s?. Tn epecial-purpose machines of Jess than 1 KW, the accelerations may be ‘up to 100000rad /s?” 4.2 Equilibrium equations and equivalent circuits ‘Tho equilibrium equations for a separately excited de motor may be obvalued from the eet of equations (1.112)-(1.114). A point to be allowed for here is that in view of the de supply and because of the commutator operation, the resultant space vectors remain fixed in space “Ka evident from the vector diagram (Fig. 4.1b), the armature effect ts reduced because of the compensation winding: In the ideal case, when ¥, = Wp, the fiux in the air gop is indopendont of the load and may bP we oleh by changing the excitation current Zy. At the came time, with gppropriate positioning of the brushes, the axis of armature winding @) te always perpendicular to the magnetic axis of the excitation clrcult (a). 90 SEPARATELY EXCITED DC MOTOR — Ch. 4 Fig. 4.2. Two-windiag model of dc motor: (a) layout ofthe windings, (b) vector diagram ‘Thus, for purposes of further analysis, an idealized machine model may ‘be adopted in which there are two electrically separated and magnetically decoupled windings in the stator and rotor (Fig. 4.2). Here L represents the resultant inductance of the armature Lua and compensation windings Ly, Adopting the fixed coordinate system a, @ (or its equivalent d, g in the case of a de machine), one sets {2} = 0 in equations (1.112a,b), which yields the following set of complex equations: APs + ica. Vag + Waa ~ Ra(lag + itea) + Sob = Mee), (432) Ua + rn = RolTop + ica) + SH ra) — G25 2m(Ur5 + Gre) « (4.1b) Yep + Wea = Le(Ieg + ian) + Lu (Ung t+ ilee), (42a) Fog t Mra = Le(lng + jee) + £ut(lep + ilea), (4.26) M = ~kiupsLa(Isglra— Ieplra)- (43) In the de machine under discussion the following conditions are sat- isfied: Usa = Up, Usp = 0, 4.4) Ura = 0, aT Ug = Ue, EQUILIBRIUM EQUATIONS AND EQUIVALENT CIRCUITS a so that writing out the set of complex equations (4.1)-(4.3) in the real and imaginary parts yields the set : Uy = Rly + a : (4.5a) Uy = Bala + He +r Onbrels, (4.56) “ Y= Lys, (4.6) . Y= Lala, (4.65) M = pbuclsle, (47) where indices a refer to the armature (rotor) cireuit and f to the exciter tion (stator) circuit Because of the large air gap on the brush axis, which is the direction in which the armature flux acts, and because of the existence of the compensation winding, the armature circuit inductance La is practically independent of the armature current. The inductance of the excitation | circuit is aot constant and, because of saturation, it is strongly dependent on the excitation current I. Moreover, the excitation flux Uy is split into useful main flux Wap and leakage flux Pyp, Lc. Wy = Wy + Wyo = (Las + Leo Iz (4.8) Thus the equations describing « de motor may be written in a some- whet different, more commonly used, way as Uy = Rely + & : (4.90) : Ua = Relat Le +B, (4.92) I M=Pplg, (4.20) E=VjQn, (4a) where E is the internal voltage induced in the armature circuit and ca constant providing both for the number of pole ‘pairs (pp) and for the ratio of the effective number of armature and excitation circuit windings, as well as the division of excitation flux into main flux and leakage flux Owing to saturation of the magnetic cireuit, the dependence of the exci. tation flux linkage on the excitation current is nonlinear, which may be et ene wee: re 92 SEPARATELY EXCITED DC MOTOR = Ch. Y= F(Iy) (4.128) Ty =F(Pp)- (4.120) ‘These relations are known os magnetizing or no-load operation character astics, and may be found experimentally under constant angular speed (Qn. = const.) bh iM Dy & be m Beye, le Fig, 4.3. Equivalent circuit of de motor ‘A schematic representation of the equivalent de motor circuit corre- sponding to voltage equations (4.9) is depicted in Fig. 4.3. In the general case, the resistance of the supply source and the inductance of the con- necting leads should be added to the resistance and inductance of the armature circuit, i.e. Ra = Ramotor + Rasouree + Rateade + (4.13a) Lg = La motor + Lasource + La teads - (4.13b) 4.3 Block diagrams and operator transfer functions 4.3.1 Per unit equations ‘The starting point in the construction of block diagrams and deter- mination of the transfer functions of a separately excited dc motor are BLOCK DIAGRAMS AND OPERATOR TRANSFER FUNCTIONS 93 equations (4.9)-(4.12). To make the discussion more general, these equa- tions will be presented in p.u. form. The basic quantities adopted are: armature rated voltage Uea = Vay (V1, armature rated current Tea = Ion [A]. —angular speed under no-load operation at rated armature voltage and rated excitation fux Pnv= Donley, 44) —rated excitation voltage Uos = Uyn IV], —rated excitation current * hy =Iyw (Al, —rated excitation flux linkage sp =Uyn (Ves) On the basis of the above, derivative base quantities are determined: deal nocload operation voltage Ua [VJ=cPyw Qn, (4.18) Bywiew. (4.16) Introduction of the basic units to the armature circuit voltage equation (4.9) yields Us _ fo Rola , Lo Ralew U(Ale/lan) , EF Tn Taw Uw "Ra Uen at —nominal torque My [N-ml (4.17) Le ke (4.18) ‘We now divide equation (4-11) by (4.15) and adopt the notation vy =U; /VpN, Wm = Om/ Don, to obtain : C= Byun, (4.19) while division of (4.10) by (4.16) gives m=vjia. (4.20) o4 SEPARATELY EXCITED DC MOTOR Ch. 4 Ina similar way one may present the excitation circuit equation (4.9a) using (4.12): RF(U) | yy Aa /V yw) Rylyx | Rylyw at (4.21) and writing Tyw = Yyn/Rylyw and is = F(z), the magnetizing char- acteristic in p.u., one obtains uy ey = Fly) + Tw SE a wn) Nae (4.22) The motion equation (1.113) may be written as yay Ma2rn/ Dare) Mea (4.28) Finaily, denoting Try = J@.n/Mw gives dite Tyqp =m mn (4.24) 4.3.2 General block diagram ‘Equations (4.18), (4.22) and (4.24), together with (4.19) and (4.20), constitute the set of separately excited de motor equations in p. ie : Ze To Ge = ta + Kalte—Uyeim), Ta = BE (4.25) Ms 8 ry, eis Tix Gb =—Fley)+up, Tv = Fe] (28) dem — ye _ J2sx Ta So = ele ML, T= et. | 2 where T, is the electromagnetic time constant of the armature circuit, Tyyz the rated electromagnetic time constant of the excitation circuit and Ty 2 mechanical constant. BLOCK DIAGRAMS AND OPERATOR TRANSFER FUNCTIONS 95 Pil f | l | | | | | | 4 Fig. 4.4. Block diagram of de motor corresponding to equations (4.25)-(4.27) ‘A general black diagram of a separately excited de motor correspond- ing to equations (4.25)-(4.27) is presented in Fig. 4.4, It can be seen that a separately excited de motor is a nonlinear control device. 4.3.8 Transfer functions with constant flux operation If the flux is assumed constant, yy = const., it takes only the two equations (4.25) and (4.27) to describe the de motor. A block diagram corresponding to these equations is shown in Fig. 4.5, where the input is armature voltage ug, the output the rotor angular speed Wm, and the disturbance the load torque mr. ‘The dynamic states of the machine at constant flux Avy = 0 are described by differential equations (4.25) and (4.27) which, in the analysis of slight deviations A frorn steady-state operation, take the form 7,2 2 Ai. + KalBus — BAe). (4.28) Ty SA wm pig — Amy (4.29) Performing Laplace and other necessary transformations on the above equations, one can obtain the required operator transfer functions. These 96 SEPARATELY EXCITED DC MOTOR ca. ¢ Fig. 4.5. Block diagram of de motor under constant excitation functions can also be obtained using the block diagram of Fig, 4.5. Setting my, =0 yields the output-Input transfer functions: ule) = Smt) Ku Buss) ~ T+eTa( Fa)’ Hie Gale) = S02) Kyo a Bus(s) ~ TF sTm(l+ oe)” in which Kg = 1/j. Ta = Tm/Ke¥3 is the electromechanical time constant and Tyy = J2.v/Mn a mechanical constant. Similarly, taking us and appropriately modifying the block dia~ gram of Fig. 4.5, one obtains the output-disturbance transfer functions: _ Aum(s) Ke (t+ 8%) i Gi) = miG) "Teena ssty' (AA) Gils) = Atel) Ku (4310) Amz(s) T+ sTall tet)’ where Ky, = 1/Kay}. 4.3.4 Transfer functions with variable flux operation In order to determine the transfer functions, the machine equations, which are nonlinear in this case, are linearized around the point of steady- state operation. Thus equation (4.20), which defines the machine torque, may be written as m=mo+ Am = (igo + Ata) (bso + Avs) 70 + tants + Vyoia + MigAyy, (4.32) BLOCK DIAGRAMS AND OPERATOR TRANSFER FUNCTIONS 7 where mo,%=0,¥70 denote, respectively, torque, armature current, and excitation flux linkage at the point of steady-state operation. When de- viations are small, and when allowances are made for mo = i.oso and AigAdy * 0, one obtains : Am = iaoAvs + Uysohia- (4.83) i A similar procedure applied to equation (4.19), which expresses the : internal voltage of the machine, yields : Ke = wmoAUy + ¥yoAun (4.34) Lineatization of the nonlinear magnetizing characteristic iy = F(,) gives dF mall ; Aig = [2222] ayy. (4.95) 8s Ny40 ‘The factor [dF (w)/duts}y,. represents the slope of the normalized magnotization characteristic at the point of steady-state operation. Taking account of relation (4.35) in the excitation circuit voltage equation (4.22) and performing a Laplace transformation, one obtains the transfer fanction of the first-order system in the form i Avae) "1 Ky = [een Bus) sTyv + Kyo’ ay Noy A block diagram of a dc machine linearized in this way, with variable iN (4.30) flux operation, is shown in Fig. 4.6. For Au, = 0 and Amz = 0, one obtains an output-input transfer function under variable flux operation: Awm(s) — 1 teo/ so ~ 2mzo(1 + 5 38Ta)/Ka¥ Fo Biy(s) ~ Yeo 1+ eT (1 + sTo)/¥}o i : where Tm —Tu/Ka is the clectromechanical time constant determined for the rated flux value #y = 1. Based on the block diagram of Fig. 4.6, one similarly obtains for Ate O and Am, — 0 the transfer function of armature current: (4.37) Ail) KeuoTnn /¥jo+ (mao/ yo) = sTmw/¥h0) | (4 33) Bes) ~ Ufo 1+ Tmn(1 + 8Ta)/09o Wy Fig. 48. Block diagram of de motor under conditions of variable ux Te is worth noting that the electromechanical time constant, Tm, = Tw /4o, appearing in all transfer functions, Increases with squared excitation ux wealtoning (ro < 1)- 4.3.5 State equations The advantage of the description in terms of state equations is the possibility of free selection of state variables. This will be exemplified Polow. where we present just two ways of effecting « motor description when yy = const. ‘Boomple 1 (physizal variables). Suppose we select the following state variables: ry sum, rotor angular speed, and 22 = ta, armature current. Thon from equations (4.25) and (4.27) and using the notation sdopted above, we can write (4.380) (4.396) yen (440) which, in matrix form, gives ea AtButEm,, y= Ce, (aan) BLOCK DIAGRAMS AND OPERATOR TRANSFER FUNCTIONS 99 where two-element state vector, control signal, output signal, dc motor matrix, input matrix, output matrix, 1 E=| Tw Gisturbanes matrix [A block diagram corresponding to equations (4.41) is depicted in Fig. 4.7. = 6) Fig. 4.7. Block diagram of de motor corresponding to equations (4.41) — physical state variables Example 2 (vhase variables). In this case the state variables selected are: 21 = wm, rotor angular speed, and #2 = Um, the time derivative of angular speed. ‘On the basis of (4.25) and (4.27) and with the above notation we can write ase, : (442) Keb} Kes t 1 Atta he = Behe, - om - eon (4.420) Fen 6 zn (482) ven, (426) which, Im matrix form, gives 100 SEPARATELY EXCITED DC MOTOR Ch 4 Block diagram of de motor corresponding to equations (4.43) — phase state Ayes + Byut Byzy, (4.438) Cay, (aasb) two-element vector of phase state variables, control signal, de motor matrix, input matrix, isturbance matrix, jaturbance vector. A block diagram corresponding to equations (4.48) can be seen In Fig, 48. STEADY-STATE CHARACTERISTICS 101 4.4 Steady-state characteristics Tn steady states the derivatives in de motor equations (1.25)-(4.27) are omitted, so that one obtains Ko(ua — Ppiim) = te = 0, (Add) us P(ds) = 0+ (4.45) Gric—mp=0, ie. mame. (4.48) ‘Adopting the excitation flux linkage #7 a8 the Independent variable, instead of voltage uy applied to the excitation citcuit, (445) may be Figs 49. Natural mechanical characteristics and modes of operation of dc movor 102 SEPARATELY EXCITED DC MOTOR = Ch. 4 neglected. Then equations (4.44) and (4.46) yield a vy mr. (4.48) (ta ~ inte) = Je (tatbs — mere); (a7) UF where ry =1/K, = Re/Rw, the p.u. armature resistance referred to the rated resistance Rw = Uaw/Tan- Taking ua and 4 to be control quantities, one obtains the load char- acteristics wm = f(mz) and ig = g(mz) (449) as straight line equations. Equation (4.47), known as the mechanical characteristic of the ma- ching, is often represented as Wm = Ws — brie, (4.50a) Wyn = we ~ DML (4.506) where w, = ua/vy is the no-load speed, by = ray, and by = ra/¥F is the characteristic slope factor. ‘When the rated excitation flux linkage is constant (yy = 1) and there is no additional resistance in the armature circuit (r¢= 0), one obtains the so-called natural characteristics with constant slope factors. ‘The examples of natural mechanical characteristics presented in Fig. 4.9 show that the machine can operate in the motoring or generating mode, depending on which quadrant of the coordinate system im, mz the operation point isin. 4.5 Speed control methods It follows directly from the mechanical characteristics (4.47) or (4.50) that the de motor speed may be controlled by changing: — armature circuit resistance re + 7a} _— armature voltage wa; — excitation flux linkage oy. SPEED CONTROL METHODS 103 ‘These three quantities directly affect the mechanical characteristics of a separately excited de motor. However, because of considerable losses, speed control by changing additional resistance ra is used only in low- power drives (up to a few kW), whenever the angular specd is reduced only temporarily. 4,5-1 Control by changing armature voltage On the assumption of a constant rated excitation flux linkage value Uy = 1, and of constant armatuée circuit resistance ra, equations (4.48) and (4.50b) become jigs me, (4514) Wm = Ua tate. (4.51) A circuit diagram and static characteristics for a de motor controlled by armature voltage change are shown in Fig. 4.10. (a) age (o) Fig. 4.10. Speed control by armature voltage change: (a) circuit diagram, (b) mochan- ical characteristic, (c) electromagnetic characteristic 104 SEPARATELY EXCITED DC MOTOR Ch. 4 ‘The mechanical characteristics are described by (4.51b) and constitute a family of parallel straight lines with u, as the parameter. The character- istics cut across all the quadrants of the coordinate system wm = f(mz). ‘This means that both continuous change of the direction of shaft speed and continuous change of the motor torque direction are possible. From equation (4.51a) it follows, moreover, that the torque 1s proportional to the armature current and remains independent of the armature voltage (Fig. 4.10c). This property is widely used in controlled drives. The static characteristics have constant slope, which means that under such 4 con- trol mode the de motor behaves as a linear element. Note that this mode of control only permits reduction of the motor speed. It is characterized by small losses (absence of additional armature resistances) and the possibility of continuous speed adjustment, but it does require a suitable controlled voltage source (thyristor or transistor converter). 4.5.2 Control by flux weakening In view of the fact that the magnetic circult of a separately excited de motor is saturated, only field weakening is possible. In such 2 case the condition -l<¥y <1 is satisfied. Let us consider the case when there are no additional resistances (ra = 0), and the armature voltage is equal to the rated voltage (ua = 1). ‘As will be demonstrated later, no excitation weakening is desirable in the range of armature volteges smaller than the rated voltage (ue < 1)- ‘Under such conditions, equations (4.48) and (4.50b) take the form (4.520) (4.52b) A citcuit diagram under such a control mode and with static charac- teristics corresponding to (4.52) can be seen in Fig. 4.11. The mechanical characteristics obtained for different values of 2) are also straight lines, SPEED CONTROL METHODS 105 Vi, Wty Vi Fig. 4.11. Speed control by excitation flux linkage weakening: (a) clrcult diagram, (b} mechanical characteristics, (c) electromagnetic characteristics but their slopes are different (Fig. 4.11b). The points at which the axes of the coordinate system intersect are determined from the no-load running conditions: m=, m= Fy i Ue and the locked rotor conditions: um =0, m= 2 ‘Two importent drawbacks of the control mode under discussion follow from the static characteristics: — increased slope of the mechanical characteristics (Fig. 4.11b); — increased armature current under constant motor torque Fig. 4.11¢). Consequently, this mode of control is used only when the required op- eration points on the mechanical characteristics caunot be obiained by armature voltage control, This is the case when, under the rated arms- ture voltage and decreasing load torque, the motor speed must be raised to a level above its rated value (wm > 1). On the other hand, for ar mature voltages below the rated value, and also at start-up, the above disadvantages make control by flux weakening inapplicable. per RENE weerecemermiter =~ 106 SEPARATELY EXCITED DC MOTOR Ch. 4 ‘The most important advantage of this control mode is that the speed can be adjusted continuously and economically, because only small cur- rents flow in the excitation circnit, which affects the dimensions and costs of the control equipment beneficially. Multiplying (4.52b) by mz and taking account of relation (4.52a) gives Pm = MW = ig(1— tata) - (4.53) It follows from the above equation that under constant armature cur- rent. the mechanical power abstracted by the motor remains constant. 7 Thus control by flux weakening takes place under constant power (Py, = const.). . 4.5.8 Two-zone control ‘The above discussion of angular speed control in separately excited motors makes it clear that control by changing armature voltage and control by flux weakening complement cach other perfectly. For that rea- son, use is often made in practice of a suitable combination of the two come | Geen joe Fig. 4.12. Basic characteristics of de motor versus angular speed SPEED CONTROL METHODS 107 modes, a procedure known as two-zone control. The main characteris- tics of the machine under this control mode arc shown in Fig. 4.12 as a function of angular speed. As the shaft speed falls below the rated value, the lux linkage is kept constant (17 = 1) and the speed is controlled by changing the armature voltage. This is called the armature range or zone I. and control here takes place under constant motor torque m = 1. When the rated voltage value is reached, ug = 1, the rotor angular speed may be increased to a level above the rated value by appropriate flux weak- ening, In this range control takes place at constant power abstracted by the motor shaft, Pm = const. This range is often referred to as zone II of speed control. : 5 Power converters for motor control 5.1 Introduction From the view point of consumers, electrical energy drawn from con- ventional sources such as ac of de mains, or (chemical) batteries, is to a large extent an intermediate product, requiring further processing. In other words, the relevant physical quantities (the voltage, current and its frequency) require continuous control over a wide range, and with mi mum losses. This is effected with static converters, based on semiconduc- tor power devices known as electronic power switches. Modern converter systems are capable of generating the required voltage and current wave- forms, both periodic and aperiodic, over a frequency range extending from zero to several dozen kHz, with high conversion efficiencies. Switching power converters perform four basic conversion functions: ~~ ac to de (rectifiers), — 2c to ac (frequency changers, de link converters), — de to ac (inverters), —de tode. Obviously, each of the conversion functions may involve a wide variety of converter system topologies. In general, 2 power converter circuit con- sists of active and passive elements. For example, a high-power converter system used for the supply and angular speed control of a high-voltage high-power induction motor may include an input transformer, an ac to dc converter, a de link with the properties of a voltage or current sources, a de to ac converter, and an output transformer., ‘The general switching matrix depicted in Fig, 5.1 represents a conceiv- able converter topology, capable of performing polyphase ac to polyphase ac conversion and containing single clectronie bidirectional switches placed at each intersection of mz input Wines and mo output lines. By adopting a suitable switch control strategy, it is possible to obtain in @ TVTETTIEET EVLA ic 1 INTRODUCTION 109 ho Z Lf L\ Lf) a 4 ‘ 6 tp hes as LLL 1 ome one MN LAA Zé f Fig, 6.1. General onitehing matrix given output phase an alternating voltage consisting of segments of the voltage waveforms supplied from the m phases. Depending on the function implemented by the converter, the switch- ing matrix may undergo extensive simplification (degradation). Figure 6.2 shows two examples of thyristor switching matrices. The circuit of Fig, 5.2a is that of a two-pulse rectifier supplying a reverse EMF tl filet ay fl | la o{ ea Fig. 5.2. Exaraples of converter switching matrices: (a) two-pulse ae-to-de converter. (b) three-pulse frequency changer 110 POWER CONVERTERS FOR MOTOR CONTROL Ch. 5 load, while the circuit of Fig. 5.2b shows a three-pulse frequency changer of ac line three-phase voltage to three-phase ac voltage supplying, for example, an induction motor, Note that the circuits of both Fig. 5.1 and Fig, 5.2a allow for bidirectional energy flow between the input and output phases. Generally spoaking, appropriately selected converter topology imple- menting one of the four functions mentioned above permits bidirectional energy flow between the supply source and the load. Jn the converters used in electrical drives, the power electronic switches ace thyristors (both conventional types and their more recent modifica- tions), gate turn-off (GTO) thyristors, and bipolar transictors. Assuming that the power electronic switch is lossless, its state is described by the existence function, a term introduced by L. Gyugyi and B.R. Pelly [18]. ‘The existence function is represented by a train of unit-step pulses sep- arated by zero-value intervals. The existence function, which is a function of the switch state (closed or open), is also a function of a branch in the switching matrix between the i-th input line and the j-th output line. Fig- ure 5.3 shows an isolated switch Sj, in the converter matrix and Fig. 5.4 shows, by way of example, the output voltage waveform for « controlled three-pulse converter and the corresponding existence functions. For the exemple of Fig, 5.4, the output voltage U.(#) equation may be written ss Ut) = So Hans). (6.1) where z(t) is the input voltage of the i-th phase and Hi; is the exist- ence function. tat wn et es U orev ee teas aa Fan ae oa Ty * siecle ‘ian Hy Fig. 5.3. Isolated switch In the general case, when independent (given) sourcing voltages Ui to Vise are expressed as an M-element column vector U; and all existence functions are expressed as an M; x No matrix H, the output voltage vector can be written as INTRODUCTION un d 4 oe uy 4 of | or —- eg = Ay : Eh - 2% pee Gee eee EEE Hs a oF ° By Fig. 5.4. Waveform of a zero-mean value ourput de terminal voltage for three-pulse ac-to-de converter and the corresponding existence functions U, = HU, 6.2) Hi being a nonsingular matrix. Similarly. if J, is an V-clement vector of output currents. the AY input currents defined as an M-element vector Z; are expressed as, =H, (5.3) where H™ ie a transpose matrix. ‘The theory of switching power converters based on existence functions hhas been developed by P. Wood {60]. ‘There is an extensive literature devoted to the theory of converter cir- cuits, full length fundamental treatments include the following: Th. Was- serab [59}, J. Schaefer (51), K. Heumann, C. Stumpe [20], B.R. Pelly (43), L. Gyugyi, B.R. Pelly [18], W. Hartel (19], P. Wood (60), A.S. Kislov- ski [25], BBC Brown Boveri (3) (Western Europe and the USA); A.A. Bul- gakov (7], A. Kelemen (24), P. Csaki, K. Ganszky, J. Ipsits, S. Marti [11], H. Tunia, B. Winiarski 56), H. Tunia, A. Smirnow, M. Nowak, R. Bar- lik [57] (Eastern Europe). 12 POWER CONVERTERS FOR MOTOR CONTROL = Ch.5 5.2 Line-commutated rectifiers and inverters ‘A tine-commutated ac-to-de and de-to-ac converter is represented sche- ‘matically in Fig. 5.5. (a) (b) My # ae ue Fig. 5.3. Schematic representation of line-commutated converter: (a) rectifier oper ation, (b) inverter operation Depending on the firing angle and the direction of de voltage Vay two modes of operation are distinguished: rectifier and inverter. In the case of rectifier operation, energy ia drawn from the ac line and transferred to the dc load, while in the case of Inverter operation, energy is drewn from ‘the de source and transferred to the ac line. Note that as the direction of energy flow En changes, so also does the sign of dc voltage Ug, while the sign of the rectified current Zz flow direction remains unaltered. 5.2.1 Rectifier operation Let us consider the operation of a phase-controlled rectifier supplying an inductive-resistive load with voltage E’ source in series. Assume the Girection of the voltage E' to be the opposite of the current conduction ‘by the thyristors (Fig. 5.6a). ‘Two modes of current conduction can be distinguished: the pulse or continuous conduction. The current conduction mode involved depends on the delay angle of thyristor control Gay the electromagnetic time constant L/R and the value of voltage E. If pulse conduction is ine Solved, the outgoing thyristor current goes to zero before another thyris- tor is switched to conduction. Under continuous conduction, the incoming thyristor begins to conduct current at a non-zéto value of the outgoing thyristor curront. If, under continuous conduction, inductance L. appears in the thyristor anode circuits, commutation takes place. In such a case ‘current is conducted by two thyristors (or more if compound commutation takes place), incoming and outgoing, where the outgolng thyristor current LINE-COMMUTATED RECTIFIERS AND INVERTPRS 3 fe sig. 56-Taeeo pale phase-contrlled actos converts: (+) equivalent cicenit.(b) and {Ghveltage and earrene waveforms itstrating the spn ‘mode of operation, (d) ig) toltage and corrent waveforms illustrating the comtinuovs ‘mode of eperation. goes to zero and the incoming thyristor current increases tO ‘the load eur- roe ie re as illustrated in Fig. 6.6e. Inductance Tis the equivalent in- Guctance resulting from the leakage inductance of the feeding transformer windings, connection inductances, and the inductances of inductors con- Trolling the rise of the thyristor current (if such inductors are used). Let us suppose, for the time being, that Le = 0 (ie. no commutation takes place), that the feeding line voltages ere sinusoidal and form a ymmetric set, and that 2, I and B have consent values independent of time; then the Joad current is described by the following: differential equation: RI+ a 2 Upsin(@t + Bon) ~ eA) where Z = Ly, and time tis counted from the instant shen the thyristor we ed to conduction. Adopting the notation: @ = 2h ¢ — E/Oms Fig © FI/Um, Te = E/R OL/R = Te = tenes We can solve 1 aigeential equation (5.4) to obtain the following relation for the load ‘current (expressed in relative units): BIBLIOTEG A ESCOLA ENGENHARIA - UF 5 14a POWER CONVERTERS FOR MOTOR CONTROL = Ch. 5 i= [cosysin(@ + Gon — 9) — elt + [e — cosy sin(Gon — 7) + io] exp(—@ cot y),, (5.5) =0. and equation (5.5) simplifies to where ig is the load current at Under pulse operation ig = i= [cos ysin(9-+8on—7)~e] + fe—cos y sin(on —)] exp(—8 cot y). (5.6) Under particular conditions, (5.6) yields for L An = 90H — Gon = 7 — Don, — arcsine, (5.7) forR=0 ande=0: Ar =2(n—Bon). 6.8) ‘The mean rectified current value for g-pulse rectifier (under conditions of pulse conduction) is given by L= wa = af (sin —e)d0 we waa cos[on — 03 Con — e(Bon — Con): (6.9) The mean rectified voltage value, on the other hand, is i 4 a Ua ovens sin 8d = UV2 5" [008 box €08 Gon}. (6.10) It follows from the discussion of relations (5.6) and (5.10) that, with increasing electromagnetic time constant Te, the rate of thyristor cur- rent rise decreases, its conduction time increases (which means that the existence function is a related function). the peak current value decreases, and so does the mean rectified voltage value. ‘Under continuous rectifier operation, and neglecting commutation, we have 8.4 = oq + 2n/q and \ = 2n/q. Thus equations (5.9) and (5.10) lead to the following mean rectificd current and mean rectified voltage relations under continuous operation conditions: » Gt) (5.12) LINE-COMMUTATED RECTIFIERS AND INVERTERS 115 Ug = UVES sin 7 cosa = Uincase, (5.13) with (5.13) following from equation (5.12) after substituting 8, = 69 +0 = is —2n/q) +4 and making a few simple transformations. ‘The angle 0 is the natural commutation angle in the “uncontrolled” rectifier operation, and @ is the angle of control delay relative to angle @o ‘The voltage Uso = UV2(q/n)sin(n/q) is the maximum rectified voltaze obtained under no-load converter operation. 5.2.2 Commutation ‘As already indicated, with an inductance connected between an ac voltage source and the converter input terminals, the process of current commutation from onc thyristor to the next takes a finite period of time, known as the commutation overlap thine. During this time, both the out going and incoming thyristors are conducting. As a result of commutation, the reverse voltage is not applied to the outgoing thyristor terminals at the same time as the firing pulse is applied to the incoming thyristor, but is delayed by the commutation overlap time. The waveforms of the source voltage, and the incoming and outgoing thyristor currents under commutation overlap (referred to the circuit of Fig. 5.6a) ace shown in Fig. 5.7. ‘Neglecting the effect of the resistance associated with the connection between the ac source voltage and the converter input terminals, and ascuming that the thyristors are ideal switches, the currents appearing within the overlap time are described by the equations: U- B= Rala + nail snk, (5.14) di |, dh Uy—E=Ralat Lage +h (5.18) where, in addition, the following equation holds: i" hth=l (5.16) Addition of equations (5.14) and (5.45) and consideration of (5.16) yields HU, 4 Up) - B= ala + (La + $e), (6.17) 6 pOWER CONVERTERS FOR MOTOR CONTROL = Ch ut) Fig. 6.7. Waveforms ilostrating commutation overlap From (6.17) it follows that the simple commutation circuit may be replaced by an ‘equivalent circuit, in ‘which the source voltage i= equal to qh arithmactic mean of the conducting ‘phase voltages and the inductances ‘L. are connected in parallel, ‘The instantaneous value of the rectified voltage is given PY Udt) = B+ Bala wae. (6.18) From (5.17) and (5-18) it follows that vale) = HULU) wat. 29) In turn, the instantaneous output voltage value, when commutation is neglected, is expressed by ct vat) =U Le. (620) Subtracting equation (5.18) from (6.20) gives an expression for the voltage eet ee nr eR TIT LINE-COMMUTATED RECTIFIERS AND INVERTERS uz drop resulting from commutation: AUselt) = alee -0- a. (5.23) ‘The mean value of the commutation-related voltage drop in the case of @ g-pulse converter Is ose ote r, q Lie ala Ma amd vu (40 = ae S "tbe 7 ao. (5.22) ‘The commutation circuit satisfies the equation ah db U, = U2 — Uy = —Le (F - 2), (6.23) where U, denotes the commutation voltage. Substituting (5.23) into (5.22) yields are 4 dh _ dh _ dla Mac gabe f ( a dt) dt (624) From equations (5.16) and (5.24) it follows that Use -Ebe Lm oh ao - Lav. J a= EX (628) fa where 1y(2t = a) = ha, h(t =o +p) =0, and Xe = OLe. ‘Assuming that La — 00, which is equivalent to saying that the current Ia(t) = Ta = const., equations (5.19) and (5.25) simplify to Ua(t) = Ui + Ua) 6.26) AVec = EXcle (527) ‘When the overlap related to commutation is taken into account, the mean rectified voltage is Xela Wy sin(rr/ 1b follows from (5.14) and (5.15) that for Ia = const. the following equation is satisfied: (5.28) Use= Ue [ona - (5.28) 1B POWER CONVERTERS FOR MOTOR CONTROL Ch. 5 In turn, for Jz = const., it a from (5.16) that any Gen (5:30) and substituting into (5.25) yields dh _Ui-Us a (31) In keoping with Fig, 5.7, the instantaneous voltages Ui(t) and Us(#) are Ui =U cos (a + 2), (5.32) Uz = Um cos (a - z). (5.33) Appropriate trigonometric transformations yield Uy — Un = -20 ym sin ; sin t. (5.34) From (5.31) and (5.34) it follows that ah Un 5% TE nF an, (5.35) Integrating equation (5.35) and taking account of the boundary condition A(t = a) = Ig yields an expression for current Iy: Ur gon % A= la pps sin F (eos. 005.22) (5.38) and then for current Iz Un ha pean Ftcose.— cos 21 6.37) From (5.37) and the fact that current Jp = Ig for @¢ = a+ p, one can determine the overlap angle y: cose ~ cos(a-+ pu) = me (6.38) a (6.39) | LINE-COMMUTATED RECTIFIERS AND INVERTERS ug In the particular cage when a = 0, the overlap angle is ale — Shela b= Ho = arccos [: he eh, (6.40) while for a = 7/2 the angle # has its smallest value: Mela | x tmin = ATCCOS Ia SAL} i [- wesc 73 fy From the fact that the relation I = Ja ~ Ip is satisfied, one may consider that the voltage sources of the conducting phases are shorted by the inductance 2E- during averlap. In such a ease current [2 cot be regarded as short-cicuitiog current Jy, which, assuring o = 0, is given by Squation (6.37). Since the rms commutation voltage is Ue = 207 sin(r/a). ‘chore U is the ems phase voltage of the supply source, we heve VW. 22Le Ten(t) (1 — cos 24) Inia — 208 28), 6.42) gm oft 7 Toum(tac05 21) Fig. 5.8. Short-circuiting current waveform 120 POWER CONVERTERS FOR MOTOR CONTROL — Ch. 5 where Jehm denotes the peak short-circuiting current value. The short- circuiting current waveform is represented in Fig, 5.8, which also shows the phase current waveforms ducing the overlap time for the chosen thyris- tor control delay angle a. It follows from the short-circuiting current waveform that the deriva- tive of that current attains a peak value for 2 ~ 7/2. Hence follows the | ‘obvious conclusion that for a = 7/2 the overlap angle attains the lowest \ value given by equation (5.41). Fig. 8.8, Volt-ampere characteristics for a three-pulse ac-to-de converter In the line-commutated converters used in drives of medium and high power, the commutation angles range from 10° to 30° (with allowances made for permissible overioads). Because of the commutation effect, the output converter voltage decreases as the load current increases (for @ = const.). Thus the commutation overlap has the same offect as the source voltage self-resistance. If a load is applied to the converter from no- load operation to nominal load, the Us = (Ja) waveforms assume forms which depend on whether pulse or continuous converter conduction is in operation. These characteristics arc illustrated in Fig. 5.9 for a three- pulse ac-to-de converter feeding an RLE load. In the pulse conduction area the Us = f(Ia) characteristics have steeper slopes, which means that the equivalent supply source impedance is much higher under pulse conduction than under continuous conduction. S . LINE-COMMUTATED RECTIFIERS AND INVERTERS 421 3.2.3 Inverter operation It follows from equation (5.13), which relates the output voltage of a multipulse controlled converter (g 2 2) to the thyristor turn-on delay angle, that the voltage Ug assumes a negative value when the angle changes in the /2—7 range. Converter operation under such conditions is known as inverter operation. A schematic representation of the rectifier and inverter operation of a line-commmtated converter is depicted in Fig, 5.10. As can be seen in Fig. 5.10a, a linc-commutated inverter is the source of voltage Ui directed opposite to the direction of thyristor current conduction. Under the inverter mode of converter operation, the energy supplied by the voltage source E is transferred to ac network fa} (b) y-Ug 08 a Dewan weeen Fg. 5.10, Schematic representation of a line-commutated convert ation, (b) inverter operation (a) rectifier oper Figure 5.11 shows voltage and current waveforms in a multipulse in- verter under continuous conduction when commutation effect is taken into account. Using Fig. 5.11 we may formulate the conditions of safe inverter operation OS Ome Udy OF Fin > Ut bay (5-43) where a += 7, a is the converter control delay. angle, calculated ‘rum the point of natural commutation in rectifier operation (point M). 9 is the converter control advance angle, calculated from the point of natural commutation in inverter operation (point V), is commutation angi is the angle at which the thyristor regains its ability to block the positive voltage 122 POWER CONVERTERS FOR MOTOR CONTROL — Ch. 5 ol a Fig. 5:1). Waveforms illustrating inverter operation of a three-pulse line-commutated converter In order to understand the physical sense of the condition given by equation (5.43), let us assume that, under inverter operation, current is conducted by thyristor in phase A. If this thyristor is not turned off (and dovs not regain the ability to block positive voltage) in the time interval _ to the left of point N (Fig. 5.11), then it is capable of conducting current in the time interval to the right of point NV, as a result of which it again LINE-COMMUTATED RECTIFIERS AND INVERTERS 123 takes over the load current (because it turns off the thyristor in phase B). In such a case, the current Sowing in the thyristor in phase A will increase very fast, since its anode voltage increases to a value equal to the sum of voltage E and the peak phase voltage value. Such a state of circuit operation may be equivalent to short-circuiting. L tae, 2812 feessting operation wm ano A ot Tue 4 1a ver vere epeton “Rt aso TTT IIIT HII ion [o7%) NS opp ee, fal 7 Fig. 5.12. Inductive load current decay Note that satisfaction of the condition (5.43) has the effect of limiting the maximum mean inverter voltage value (Var S Uso cos 6). ‘A point which deserves stressing, and one that follows from Fig. 5.10, is that for a convorter with a voltage source E included in the output circuit to go from rectifier to Inverter operation (or vice versa) it is necessary to awitch over the terminals of that source so as to change the direction of voltage E. If the converter feeds an inductive load, transient iaverter operation takes place when the angle @ increases from the interval (0,/2] to the interval {7/2,7). Then the electromagnetic energy eccumulated 124 POWER CONVERTERS FOR MOTOR CONTROL —Oh.5 in the load inductor is transferred to the line. Nove that the rectifier operation-inverter operation transition changes the direction of the load EMF (LdI/dt). This means that, under transient inverter operation, the EMF has a direction opposite to that of the inverter voltago, as in the case shown in Fig. 5.10b. The waveform of the inductive load current, on going from operation at angle a = 0 to operation at q = 7/2 and at @=7, is shown in Fig. 5.12. 5.2.4 Converter systems ‘The line-commutated converter circuit can be described in terms of the following parameters: mean maximum rectified voltage at no-load oper- ation referred to the phase source voltage rms Uao/Urme, pulse number during supply voltage application 9, rectified voltage ripples r, conduc- tion angle of electronic switches (diodes and thyristors) 2, mean and rms values of switch current referred to the mean rectified current value Ius/Tq 004 Irms,s/Tz, Supply transformer rating power referred to mean de power $y-/Uaolz, and apparent power drawn from the line referred to the mean de power Sz /Uaola. ‘When these parameters are quoted, it is usually assumed that the con- verter feeds a load with an ideal induction Alter (Lg = 02). The supply transformer rating power is given by the arithmetic means of the rms powers of primary and secondary windings. The apparent rms power drawn from the line is equal to the product of the number of phases, ‘the phase rms voltage, and the rms line current value. It differs from the dc power by the distortion power and phase shift power. Note that, for an uncontrolled converter, when the commutation effect is omitted, the reference power to the fundamental harmonic of line currents is equal to the mean dc power. In high-power systems, one aims to obtain the small- est possible difference between the apparent power drawn from the line and the de power. This is possible in systems with high pulse number 9, in which multistep line current waveforms are obtained. Such waveforms contain few unwanted components. It is also worth stressing that the rec- tified voltage pulsation decreases with increasing q, which lowers the cost of smoothing inductor Ls (the inductor acts as an inductive filter). In Table 5.1 the cheracteristic parameters of basic (simple) converter circuits are collected. These are circuits with zero-point connection, i.e.

You might also like