You are on page 1of 7

LEAKAGE, BREAKDOWN, TDDB CHARACTERISTICS AND

OF POROUS LOW-K SILICA-BASED INTERCONNECT DIELECTRICS


Ennis T. Ogawa, Jinyoung Kim, Gad S. Haase, Homi C. Mogul, and Joe W. McPherson Silicon Technology Development, Texas Instruments, Inc. 13560 North Central Expressway, MS 3737, Dallas, TX, 75243 Ph: 972-995-1007; Fax: 972-995-3075; E-mail: e-ogawal@ti.com

ABSTRACT
The reliability physics of low-k interconnect dielectrics is of great interest. Leakage, breakdown and TDDB data are presented for fluorinated silica, porous carbon-doped silica, and very porous carbon-doped silica. The breakdown and TDDB perfomlance of the dielectrics are observed to degrade with the degree of porosity but the failure kinetics (field accelcration parameter and activation energy) seem to rather insensitive to porosity. A percolation model has been developed whereby the pores are treated as dcfects. The percolation model seems to describe well the observed breakdown and TDDB behavior. [Keywords: intermetal dielectrics, intralevel dielectrics, time-dependent dielectric breakdown, TDDB, dielectric reliability, ramped breakdown, low-k dielectrics, low-k rcliability, c a n t leakage, percolation model, field acceleration parameter, porosity.] TABLE MATERIALS 1. PROPERTIES OF LOW-K CANDIDATES
MATERIAL

FORMATION
Thermal PE-CVD PC-CVD PC-CVD SOD

KEF,
3.9 4.2 3.6 2.9 2.3

POROSITY

SiOz TEOS SiOF SiOC

0%

0%
0%
20-30%
40.50%

P-MSQ

INTRODUCTION
Historically, the leakage, breakdown (EM) and timi:-dependent dielectric breakdown (TDDB) performance of interconnect dielechics have received relatively little reliability attention because of their dielectric thickness and low operating field (< 0.5MVicm). However, with metal-to-metal spacing rapidly approaching lOOnm (gate-oxide thickness some 25-30 years ago), their leakagc, breakdown and TDDB performance are starting to receive attention [I-IO]. This issue is also exacerbated by the fact that, as the metalto-metal spacing is reducing, it is necessary to introdwe new low dielectric constant (low-k) materials to help minimize the interconnect parasitic capacitance.

bonds are replaced with Si-F bonds. Making more dramatic reductions in the silica matrix is normally done though porosity additions. In this paper, the definition of a pore is any localized region in the silica matrix (may be fewlseveral angstroms in size) that contains low polarizablity material and, in fact, may be a microvoid. We will refer to such materials as being porous even though material mayimay not be actually in the pore. Porosity is an effective way to decrease the dielectric constant and tends to come about by simply lowering the atom density, thus achieving less polarizable bonds per unit volume (as long as the Si-0 network remains sufficiently rigid to avoid the introduction of an additional rotational polarizability component). Several new ultra low-k materials, are based on porous materials [13], where small pores are evenly distributed inside a SiOz-based matrix. The relationship betwccn the resulting effective dielectric constant (kef) and thc dielectric constants of the two mixed phases (k, and k,) can he described by the Clausius-Mossotti equation (Eq. 1):

A popular low-k strategy is to simply add porosity to a silicabased matrix. The added pore could be a void andor may simply be a region of low polariribility material. Either type embedded within ih the matrix can yield a material w t substantially 1owi:r dielectric constant. The purpose of this work is to investigate the reliability impact that such pores have on leakage, EM and TDDB performance of the host silica matrix.

DESCRIPTION MATERIALS STUDIED OF


Several low-k candidates are shown in Table I. The dielectric constant of thermally grown SiOz is b 3 . 9 . However, for CVD SiOz, the k value is closer to 4.2 because of the higher concentration of 0H bonds usually found in the CVD films. Lowering the dielectric constant of silica can be achieved through individual atom replacement (lowering the ionic a n d o r electronic contrihution to the polarizability). Such is the case for fluorinated silica, F-doped silica glass (FSG) [11,12]. Here, Si-0 bonds are replaced by Si-F bonds. Even though the Si-F bond is more polar than Si-0, the now missing electronic contribution from the replaced 0- ions serves to lower the k value. A k value of -3.6 can be achieved when -4% of the Si-H
0-7803-7M9-81031$17.00W O 0 3 IEEE

where N, the number of molecules per unit volume of type i in the dielectric and a, the molecular polarizability [14]. Herc,f, andf2 = is I$, are the volume fractions of the two components with dielectric constants k, and k2, respectively. It can be easily seen from this equation that introducing 50% porosity (k, = I assumed for pores) into silica (k2 = 4.2) will yield an effective k value kgabont 2. The main problem with the latter scheme is maintainmg structural integrity and hardness [15,16], which dictates that the pore size be very small. Also, the inclusion of contaminants in the pores during integration is a possibility. Most of the porous ultra-low k materials
IEEE 03CH37400. 41sl Annual International Reliability Physics Symposium, Dallas, Texas, 2003

166

manufacturers use a templating sacrificial organic phase, which form pockets in the Si02 host matrix, and are named "porogen" [17]. The porogen is engineered to decompose at a temperature beyond the cross-linking, or vitrification temperature of the formed matrix. However, if the poragen decomposition byproducts are not removed complctely at a temperature below the glass transition (where the matrix collapses), they may become trapped within the pores. CVD carbon-doped silica (SiOC), or organo-silicate glass (OSG), shows great promise [IX]. Compared to FSG, the material is much more hydrophobic with a lower dielectric constant in the range of 2.6-2.9. Mainly methyl groups (CH,), as well as some hydrogen atoms, replace 10-25%of the oxygen atoms (Figure l), causing the SiOl network to pack at a substantially lower density (1.2-1.4 s/cm3), with pore size 5 A diameters. Infrared spectroscopy shows clearly a mixture of flexible Si-0-Si, in addition to a smaller signal from more rigid StNCtues, with slightly higher vibrational frequencies. Exposure to oxidizers, such as 0,-based plasma, results in depletion of the near-surface region from carbon and densification.

EXPERIMENTAL APPROACH
The type of test stmcturc used for intermetal dielectric (IMD) reliability study was an interdigitated comb-serpent (CS) as is shown in Figure 2. The structure was fabricated using a standard damascene technique for Cu-metallization. These structures were used for all the reported measurements: leakage, ramped-voltage breakdown (EM) and time-dependent dielectric breakdown (TDDB). The typical serpent length and width were approximately 0.32111 and 0.18 pm, respectively, with a metal-to-metal spacing of approximately 0.17 pm. The comb height was 1665 pm. The effective capacitor area for the typical structure is roughly 1x10~' cm2. All measurements were performed at the wafer-level with hot chuck control: Leakage and breakdawn measurements were done from 25 to 250 'C. TDDB measurements were generally conducted at 105 "C, hut activation energy measurements ranged in temperature from 105 to 200 "C. During many of the 250 'C tests, both anode and cathode currents were separately monitored for at least 1-hr to look for cvidence of any Cu drift. No Cu drift was observed. During testing, the serpent was typically grounded, while the comb-metal was positively biased. The breakdown condition was typically defined as a sudden rise in leakage current of at least 2X. Due to the relatively high voltages applied (usually > 50V), the large amount of charge stored on the capacitor generally produced a hard breakdown with the current reaching the compliance limit. Little evidence of soft breakdown prior to hard breakdown was observed for these rather thick dielectrics. The electric field was reported as the voltage drop across the dielectric divided by the nominal spacing (typically, 170nm). Weihull statistics were used in analyzing the TDDB breakdown distributions

Another ultra low-k candidate is a spin-on dielectric (SOD) which is based on methylsilscsquioxane (MSQ or [(CH,)SiO,,]. ) oligomers (short polymer chains), and hence, very similar to OSG in composition. Nevertheless, this QOIOUS-MSQ (P-MSQ) material generally has a k value in the 2.1-2.3 range because it is very porous (Porosity = P 40.50%). Unlike other porous Si0,-based materials which make use of a porogen, the porosity is introduced through engineering of the oligomers so as to pack more densely in small volumes, leaving connected pores with diameter of the order of -15 8, between them.

Thus for porous silica-basedmaterials (with the pore having a k-I), then Eq. ( I ) reduces to:

k 1 kz-l =fiZ+f*kl+2 k,+2

Line-to-Line

~~

0 0 I I - 0- si- 0- p 0- si- 0i
O I
I I

FIGURE A SCHEMATIC, 2-DIMENSIONAL KEPRESE"TATl0N OF THE 1. SI02 NETWORK IN CARBON-DOPED SILICA (OR OSG), WHERE R
STANDS FOR METHYL (CHI) GROUPS, AND OCCASIONALLY, MYDROGEN (H) ATOMS.

FIGURE SCHEMATIC DIAGRAM OF A TYPICAL INTERDIGITATED 2. COMB-SERPENTINE TEST STRUCIURE.

167

EXPERIMENTAL OBSERVATIONS
The leakage curves are shown in Figure 3. Generally the leakage current densities were somewhat similar at low fields and showed rather weak temperature dependence. The obvious differrnce in the films was the relatively low breakdown strength of the very porous P-MSQ films. The P-MSQ films breakdown much earlier even though the current density at breakdown is relatively low. The breakdown strengths of the materials showed rather weak temperature dependence (See Figure 4). The TDDB results seem to be reasonably fit by i i Weibull distribution and the results are shown in Figure 5 . There time-tofailure results indicate that films with a higher degree of porosity

10 1 0.1 0 0.01 ' 1E-3 Z 1E-4 E 1E-5 1E-6 1E-7

FIGURE TEMPERATURE DEPENDENCE OF RAMPED BREAKDOWN. 4.


11 0

m m m Y
I

1E-8 1E-9 1E-10 1E-I1 1E 1 4 2 0 10 1 2

t -

" i
Y

roo

I50

200

250

Temperature ("C)

25C

t 105C

200C t 250'0 6 7 8

0.1
B 0.01 100

3 4 5 E (MVlcm)

;.
4
. . ......, .
10'

e :

f
i
,

i
E (MVlcm)
I

+
6.0

- 2.8

..

_r*

10'

10%

10'

. .-

5.5 5.0

0
0

Time (Arbitary unit)


0.01 1E-3 1E-4
1E-5
' 25C t

.$
e
$ '

10

1E-6 1E-7 m 1E-8 U , m 1E-9 1 g 1E-I0 1E-I1 IE-12$., 0 1

U; .c

t IOB'C

--c 200C
t 250C

?'

0.1

. ,
2

,
3

,
4

,
5

,
6

,
7

. 1

- 1.5
10-1

0.01
10.' 10D 10' 10'
108

.
0

5.5

5.0
4.5 4.0

E (MV/cm) 10

10'

101

Time (Arbitary U n i t )
10

E
E
m m

1E4 1E-5

F
. I

1
0.1

1E-8 m 1E-9 Y 1E-10 1E-11

E (MV/cm)

t 2 5 c t105C 200-c t250C

.;
. /
,/
B

111,

i ,,A
II
A,/

.. .
r
. /
'
V

i'

:-

, I

0.01 10.'

- 1.3
10'
10' 10'

.
A

E (MVicn 2.66

2.36
2.07 1.77

10'

I'

Time lo Failure (Arbitary U n i t ) FIGURE . TDDB FAILURE DISTRIBUTTON OF LOW-KCOMB5 SERPENTS.

FIGURE 3. RAMPED VOLTAGE LEAKAGE TRACES

168

(lower-k) tend to fail much more quickly. Also, the Weibull slopes for the different materials were quite different. The Weibull shape parameter tended to be greater (k = 2.5 - 2.8) for the nonporous silica films than for the porous films: of p = 1.5 for SiOC and p = 1.3 for P-MSQ, respectively. In Fig. 6 we show the TDDB results for these films plus we show for comparison TDDB data for thermal S O 2 [19] and PE-TEOS [3]. Interestingly, the observed field acceleration parameter is quite similar (y = 4.5 5 0 5 c d M V ) for all of these . silica-based films (Figure 6) and consistent with the value often reported for thick ( X n m ) silica TDDB, y = 13 e&(k,T) = 4.0 cmiMV at I05 "C test temperatures (201. Also, the observed TDDB activation energies are similar for all of these silica-based films (Figure 7). The above TDDB observations tend to suggest that the porosity in the silica matrix has littleho impact on failure kinetics (physics), but the pores have a major impact on the breakdown strengtb and timeto-failure. These observations are consistent with a percolation model whereby the added pores act as defective sites which are already percolated at time-zero, i.e., many defective sites are built-in

the material at time-zero through the use of porosity. Since these pores (defective sites) are introduced during processing, they might serve to reduce the required time to generate a percolation path and thus reduce the breakdown strength and reduce the time to failure without changing the kinetics (physics of failure). PERCOLATION MODEL FOR POROUS LOW-K

BREAKDOWN
Percolation theory has been very useful for describing gate-oxide breakdown statistics in MOS Capacitors and FETs [21,22]. Here we follow closely the lead of Suile, ef al. [22] and divide the dielectric into a series of small elements of cell size ''G~", is shown in as Figure 8. The key parameters in this model are: nM(the number of (the number of columns in the cells in a single column) and NCO, capacitor) and are given by:

..

..

i=
. .
...

9101112

E (MVlcm)

FIGURE. E-FIELD 6 DEPENDENCE OF LOW-K'S.

where idjet is the dielectric thickness and Adiel is the area of the dielectric, respectively. During electrical stressing, defective cells are generated with time. Defective-cell generation is a process whereby a normal cell is transformed to a defective cell. The mechanism for conversion of a normal cell to a defective cell is not totally understood, but it is thought to he due to some type of bond(s) breakage mechanism leaving behind a broken bond(s) [23]. The extended nature of the electronic wavefunction describing the defective site is assumed to be sufficient to permit wavefunction overlap with an adjacent defective cell and thus electrical communication is possible between adjacent defective cells. Electrical breakdown of the capacitor occurs when a single column of defective cells is generated connecting the metal electrodes (a weakest-link model). If h is the average kaction of defective sites, then the fraction of broken down capacitors F&) is given by (see Suile, et al. [22]):

10'

Ln{-Ln[l-Fbd(A)]} = n b d L n ( A ) i L n ( N c o l ) ~ Eq. (5).

Dielectric

v
10-1

SiOF :Ea=O.49eV SiOC : Ea=0,66eV P-MSQ: Ea=0.52eV

(Noma! Cell) 0

(Dcffftive Cell)

4
2.2

1
2.4 1 / T (x1000 OK") 2.6

Key Model Parameters:

2.0

2.8

FIGURE ACTIVATION 7. ENERGIES DETERMINED FOR THE LOW-K'S.

FIGURE PERCOLATION OF BREAKDOWN 8. MODEL

169

The characteristic fraction of defective cells at breakdown I.M can be determined by setting FM(h ) = 0.63 (lefi-side of above equation goes to zero) giving:

tdiel(l-P)/ao

1-p,

Eq. (13).

h /a0
Using Eqs. (Z), (12) and (13) we can now project the expected impact on the relative breakdown strength for the low-k materials when porosity is used to drive the reduction in kef By taking the breakdown strength of CVD-Si02 (TEOS) to be IOMVicm and the Weibull slope to be 2.5 [19], the observations in F i p r e s 5 and 6 can be used to compare the percolation model with observations and the results are shown in Figures 9 and 10. We see in Figure 9 that the percolation model indicates that the breakdown strenglh should reduce with porosity and the model seems to be supported well by the observations. Figure 10 shows a similar comparison of theory and experiment for the Weibull shape parameter.

If we assume that the defects are being generated at some power-law rate,

DISCUSSION
then we obtain the familiar Weibull relation: Since Eq. (IO) is a critically important assumption in the percolation model with porosity. We decided to test the assumption by using Monte Carlo simulations. In Figure 11 we show a simple example where we start with a defect free dielecmc and then one with a 50% uniform porosity In this spccitic Monte Carlo Tun, note that Eq. (10) seems to be validated. However, this will certainly not be the case for all individual Monte Carlo runs, so we must do many

LnJ-Ln[l- Fhd(f)]}= p Ln(t) - p Ln(t,,),


where

Eq. (8)

and

In the percolation model with porosity we assume that, like the usual percolation model, the dielectric will breakdown when h = h ~ We . further assume that adding pores is equivalent to adding defective cells such that:
Eq. (IO).

('hd)w;hmt

Pmmiry = ('bd

)with PororiW

"

0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5


Ken

where P i s the porosity (fraction of porous cells). The abote relation gives:

FiGURE 9. NORMALIZED BREAKDOWN STRENGTH EM VERSUS EFFECTiVE IIIELECTRIC CONSTANT K c = : PEKCOLATiON MODEL AND OBSERVATIONS.

1.2

FIGURE NORMALIZED 10. WElBULL SHAPE-PARAMETER BETA VERSUS K e r : PERCOLATION MODEL AND OBSERVATIONS.

170

Tuns and then look at the statistical distributions. W e Weibull plots are shown in Figure 12. Note that the breakdown strength (hbd) reduces as we add uniform porosity to the silica matrix. Also note that the Weibull slope degrades (becomes more shallow) as we add more porosity. Using the characteristic value of h, from each distribution, we plot Pomsjry + P in Figure 13 and find that it does remain reasonably constant and equal to (hbd)wlhou, Finally, in Figure 14 we show the normalized Weibull shape p a m e t e r (Pwllh P ~ ~ 1 Pw;th,,, Pomsiry) + determined from the Monte Carlo simulations and from the percolation model. Relatively good agreement seems to exist. It is interesting to note that the Monte Carlo simulations for b are slightly more pessimistic, and this might be consistent with the observed data shown earlier (Figure 10). This might suggest that the porosity addition to the film is not perfectly uniform (as the model assumes).

Defective Fraction at Breakdown (WthlWlthout Pomity)

0.4

10

20

30

40

50

Porosity(Y4
FIGURE DEFECTIVE FRACTION AT BREAKDOWN (hbd)WITH(W) 13. AND WlTHOUT(W/O) POROSITY FROM MONTE CARLO SIMUATION.

Monte Carlo Simulation of Breakdown


Without Porosity

hiO.18

a=a.33

iiO.49

*..iO.BS

With 50% Uniform Porositv

h=0.04

h=O.oB

hlO.12

iMiO.18

FIGURE 1 I . MONTE CARLO SIMULATION OF GENERATION OF BREAKDOWN ( h) FOR A DIELECTRIC WITtdWITHOUT POROSITY. ~

CONCLUSIONS
Monte Carlo Simulation: Impact of Porosity on Breakdown and Weibull Slope The breakdown and TDDB performance of silica-based porous low-k dielectrics are observed to degrade when the reduction in kenis driven by porosity increases. While the E, and TDDB performance degrades with porosity, the failure kinetics (field acceleration parameter and activation energy) seem to be independent of the level of porosity and also seem to be consistent with the kinetics historically observed for thick SiOl films. A percolation model was developed whereby the pores are treated as defective cell(s). The percolation model seems to describe well the E, and TDDB degradation observed within these porous silica-based low-k films.

I
a*

t
At4

l
h

FIGURE MONTE 12. CARLO SIMULATION: IMPACT OF POROSITY ON


BREAKDOWN (h,) AND WEIBULL SLOPE.

171

REFERENCES
R. Tsu, J. W. McPherson, and W. R. McKee, Leakage and Breakdown Reliability Issues Associated with Low-k Dielectrics in a Dual-Damascene Cu Process, in IEEE lnternarional Reliabiliry Physics Symposium (IRPS) Proceedings 38th Annual, pp. 348-353,2000, J. Noguchi, N. Ohashi, J. Yasuda, H. Yamaguchi, N. Owada, K. Takeda, and K. Hinode, T D D B Improvement in Cu Metallization under Bias Stress, in IEEE Intwnational Reliability Physics Symposium (IRPS) Proceedings 38th Annual, pp. 339-343,2000, J. Noguchi, T. Saito, N. Ohashi, H. Ashihara, H. Maruyama, M. Kubo, H. Yamaguchi, D. Ryuzaki, K. Takeda, and K. Hinode, Impact of Low-K Dielectrics and Barrier Metals on TDDB Lifetime of Cu Interconnects, in IEEE Intarnational Reliability Physics Symposium froceedinps (IRPS) 39th I . , Annual, pp. 355-359,2bOi. W. S. Sone. T. J. Kim. D. H. Lee. T. K. Kim. C. S. Lee. J. W. Kim, S. Y. Kim, D. K. Jeong, K. C. Park, Y. I. Wee, B. S. Suh, S . M. Choi, H.-K. Kang, K. P. Suh, and S. U. Kim, Pseudo-Breakdown Events Induced By Biased-ThermalStressing of Intra-Level Cu Interconnects - Reliability & Performance Impact, in IEEE International i<eliability Physics Symposium Proceedings (IRPS) 40th Annual, pp. 355359,2002. C. Chiang, S. M. Tzeng, G. Raghavan, R. Villasol, G. Bail, M. Bohr, H. Fujimoto, and D. Fraser, Dielectric Barrier Study for Cu Metallization, in Proceedings of the Eleventh International IEEE VLSI Multilevel Interconnection Conference (VMC), p. 414, 1994. A. L. S. Loke, J. T. Wetzel, C. Ryu, W.4. Lee, ond S. S. Wong, Copper Dnfl in Low-K Polymer Dielectrics for ULSI Metallization, in 1998 Sympo.sium on VLSI Technology Digest ofTechnica1 Papers, pp. 26-27, 1998. S. Kim, T. Cho, and P. Ho, Leakage Current degrabtion and Carrier conduction Mechanisms for Cu/8CB Damascene Process Under Bias-Temperature Stress, in IEEE International Reliability Phyrics Symposium Proceedings (IRPS) 37th Annual, pp. 277-282, 1999. A. L. S. Loke, C . Ryu, C. P. Yue, I. S. H. Cho, and S. S . Wang, Kinetics of -Copper Drifl in PECVD Dielectrics, lEEE Elect. Dev. Lett.. 17(12). D. 549-551. 1996. A. L. S . Loke, J. T. Wetzel, P. H. Townsend, T. Tanabe, R. N. Vrtis, M. P. Zussman, D. K. Kumar, C. Ryu, and !S. Simon, Kinetics of Copper Drifi in Low-k Polymer Interlevel Dielectrics, IEEE Trans. Elect. De., 46(1 I), pp. 2178-2187, 1999. G. Bersuker, V. Blaschke, S. Choi, and D. Wick, Conduction Processes in CdLow-K Interconnection, in IEEE International Reliability Physics Symposium Proceedings (IRPS) 38th Annual, pp. 344-347, 2000. J. S. Martin, K. J. Taylor, J. D. Luttmer, A. K. R. R.alston, J. A. West, T. D. Bonifield, E. M. Mickler, S. Bolnedi, C. T. Adams, K.-H. Chew, A. Bayman, and B. van Schravendijk, FSG process development for Copperidmascene technology, in Proceedings of the IEEE 2001 Inpmational Interconnect Technoloa Conference (IITC), pp. 39-4 I, 2001. R. Wistrom, G. Bomberger, S. Cohen, S. Hazel, M. Lavoie, J. Gamhino, D. Poley, and 0 . Dokumaci, Film properties and integration of a variety of FSG films, in froceeditrgs ofthe IEEE 2001 International Interconnect Technology Conference (IITC) pp. 168-170, 2001.
_I

I~

~~i~

[I31 C. Jin, J. D. Luttmer, D. M. Smith, and T. A. Ramos, Wanovorous silica as an ultralow-k dielectric. MRS Bulletin. zz(ioj,pp. 3 9 - 4 2 , 1 ~ 9 7 . W. M. Robertson, G. ATjavalingm, and S . L. Shinde, Microwave dielectric measurements of zirconia-alumina ceramic composites: a test of the Clausius-Massotti mixture equations, J. Appl. Phys., 70(12), 7648-765, 1991; R.M.A. Azzam and N.M. Bashara, Ellipsomehy and Polarized Light, p. 359, Amsterdam, Elsevier, 1989. M. Morgen, E. T. Ryan, J:H. Zhao, C. Hu, T. Cho, and P. S. Ho, Low Dielectric Constant Materials for ULSI Interconnects, Annual Review of Materials Science, Vol. 30, pp. 645-680,2000. E. T. Ryan, H.;M. Ho, W:L. Wu, P. S . Ho, D. W. Gidley and 1. Drage, Material propelty characterization and integration issues for mesoporous silica, Proceedings ofthe IEEE 1999 International Interconnect Technology Conference (IITC), pp. 187-189. J. L. Hedrick, R. D. Miller, C. J. Hawker, K. R. Carter, W. Volksen, D. Y. Yoon, and M. Trollsas, Templating nanoporosity in thin-film dielectric insulators, Advanced Moteriuls, 10(13),pp. 1049-1053, 1998. T. S. Kim, A. J. McKerrow, Q:Z. Hong, B. Kirkpatrick, H. Park, H. Hong, G. Xing, K. Newton, D. Pemana, M. Eissa, B. Chattejee, and T. D. Bonifield, Integration of organosilicate glasses (OSGs) in high performance copper interconnects, in Proceedings of Advanced Metallization Conference (AMC) 2001,pp. 25-31,2001. J. McPherson, V. Reddy, K. Banejee, and H. Le, Comparison of E and I/E TDDB Models for SiOz under long-tendlow-field test conditions, in International Electron Devices Meeting (IEDM) Technical Digest, pp. 17 1-174, 1998. J. W. McPherson and R. B. Khamankar, Molecular model for intrinsic time-dependent dielectric breakdown in Si02 dielectrics and the reliability implications for hyper-thin gate oxide, Semicond Sci. Techno!., 15, pp. 462470,2000. R. Degraeve, G. Groeseneken, R. Bellens, J. L. Ogier, M. Depas, P. J. Roussel, and H. E. Maes, New Insights in the Relation Between Electron Trap and Generation and the Statistical Properties of Oxide Breakdown, IEEE Tran Elecf. Dev., pp. 904-911, 1998. J. Sufie, D. Jimenez, and E. Miranda, Breakdown Modes and Breakdown Statistics of Ultrathin SiOl Gate Oxides, Int. J High Speed Electronics and Systems, 11(3), pp. 789-848, 2001. J. W. McPherson, R. B. Khmankar, and A. Shanware, Complementary model for intrinsic time-dependent dielectric breakdown in SiOz dielectrics, J Appl. Phys., 88(9), pp. 5351-5359,2000,
~ ~~~

172

You might also like