You are on page 1of 26

scola cnica uperior nginyeria

Departament dEnginyeria Electrnica



3.1
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010
3.- DISEO DE FILTROS FIR.
3.1.- INTRODUCCIN.

Los filtros digitales de respuesta impulsional finita (Finite Impulse Response) se basan en
obtener la salida a partir, exclusivamente, de las entradas actuales y anteriores. As, para un
filtro de longitud N:
y n b x n b x n b x n N b x n k
N k
k
N
( ) ( ) ( ) ... ( ) ( ) = + + + + =

0 1 1
0
1
1 1
donde los {b
k
} son los coeficientes del filtro.
Ante un estmulo impulsional, la respuesta es finita lo que justifica su denominacin.
La salida {y(n)} puede escribirse como la convolucin de la entrada {x(n)} con la
respuesta impulsional {h(n)}:

<
<
= =

+
=
N k
N k k h
k
k h con k n x k h n y
k
0
0 ) (
0 0
) ( ) ( ) ( ) (
luego la expresin puede reescribirse como:

=
=
1
0
) ( ) ( ) (
N
k
k n x k h n y
de donde, identificando (1) y (2), observamos que b
k
={h(k)}, es decir, los coeficientes del
filtro corresponden a la respuesta impulsional.

3.2.- Filtros de fase lineal.

La ventaja de los filtros FIR es que pueden disearse para que presenten FASE LINEAL.
La linealidad de fase implica que se verifiquen ciertas condiciones de simetra:
Un sistema no causal con respuesta impulsional conjugada simtrica ( ) ( ) (
*
n h n h = )
tiene una F. de Transferencia real.
Un sistema no causal con respuesta impulsional conjugada antisimtrica
( ) ( ) (
*
n h n h = ) tiene una Funcin de transferencia imaginaria pura.
scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.2
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010
Es decir tendremos fases que pueden sero 0
2

, si queremos que las secuencia sean


realizables, las retardaremos un nmero de muestras adecuado para que se transformen en
causales.
Si consideramos sistemas FIR con coeficientes reales, una secuencia conjugada simtrica se
dice que es una secuencia PAR, y una secuencia conjugada antisimtrica es una secuencia
IMPAR. Dependiendo del nmero de coeficientes del filtro y del tipo de simetra tenemos
varias posibilidades.
Tipo: Nmero de trminos (N) Simetra
I Impar Simtrico ) 1 ( ) ( k N h k h =
II Par Simtrico ) 1 ( ) ( k N h k h =
III Impar Antisimtrico ) 1 ( ) ( k N h k h =
IV Par Antisimtrico ) 1 ( ) ( k N h k h =

2 0 2
0.5
0
0.5
1
1.5
2
h
n
c
(
n
)
=
h
n
c
(
n
)
n
0 2 4
0.5
0
0.5
1
1.5
2
h
(
n
)
=
h
(
N

n
)
n
Tipo I. Simetrico. N impar
2 1 0 1 2
0.5
0
0.5
1
1.5
h
n
c
(
n
)
=
h
n
c
(
n
)
n
1 0 1 2 3 4
0.5
0
0.5
1
1.5
h
(
n
)
=
h
(
N

n
)
n
Tipo II. Simetrico. N par
2 0 2
1
0
1
h
n
c
(
n
)
=

h
n
c
(
n
)
n
0 2 4
1
0
1
h
(
n
)
=

h
(
N

n
)
n
Tipo III. Antisimetrico. N impar
2 0 2
1
0
1
h
n
c
(
n
)
=

h
n
c
(
n
)
n
0 2 4
1
0
1
h
(
n
)
=

h
(
N

n
)
n
Tipo IV. Antisimetrico. N par


Obtengamos la respuesta en frecuencia para un filtro de tipo I.
As, para un filtro de longitud impar y respuesta impulsional simtrica alrededor del punto
central, tenemos que:
impar N y k N h k h con z N h z h z h h z H
N
, ) 1 ( ) ( ) 1 ( ... ) 2 ( ) 1 ( ) 0 ( ) (
) 1 ( 2 1
= + + + + =


si sacamos factor comn
(

2
1 N
z y agrupamos trminos de acuerdo con la simetra
scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.3
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010

\
|
+ + + + + =
(

2
1
... ) 2 ( ) 1 ( ) 1 ( ) 0 ( ) (
2
3
2
3
2
1
2
1
2
1
N
h z N h z h z N h z h z z H
N
N
N
N
N

Con lo que, realizando el cambio z=e
j
y aprovechando la propiedad de simetra de los
coeficientes, la respuesta en frecuencia queda como,
( ) ( )
)
`

\
|
+ +
|

\
|

+
|

\
|
=

2
1
... 1
2
1
cos 1 2
2
1
cos 0 2 ) (
2
1
N
h
N
h
N
h e H
N
j


( )

\
|
+ |

\
|

2
1
2
1
cos 2 ) (
1
2
1
0
2
1
N
h k
N
k h e H
N
k
N
j


con lo que:
( )

=
|

\
|
+
|
|

\
|
(

=
=

2
1
) (
2
1
2
1
cos 2 ) (
) ( ) (
1
2
1
0
) (
N
N
h k
N
k h A
con e A H
N
k
j





donde A()R, con lo que toda la informacin de la fase se encuentra en () que es lineal en
. Por tanto, el retardo de grupo es lineal:
2
) 1 ( ) (
) (

=

=
N
d
d


esto significa que, al hacer pasar una seal por un filtro FIR de estas caractersticas, el retardo
es el mismo para todos los armnicos que componen la seal y sta no se distorsiona. La
magnitud del retardo no depende de los coeficientes del filtro con lo que stos se pueden
escoger libremente para modelar la respuesta en amplitud. El retardo introducido por el filtro
es, (N-1)/(2F
s
) segundos.
Para los otros tipos obtenemos las siguientes expresiones:
Tipo II: par N y k N h k h , ) 1 ( ) ( =

( )

=
|
|

\
|
(

=
=

2
1
) (
2
1
cos 2 ) (
) ( ) (
1
2
0
) (
N
k
N
k h A
con e A H
N
k
j





scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.4
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010
( ) 0 H

=
= . No es adecuado para disear filtros pasa alta ni elimina-banda

Tipo III: impar N y k N h k h , ) 1 ( ) ( =

( )

=
|
|

\
|
(

=
=

2
1
2
) (
2
1
sin 2 ) (
) ( ) (
1
2
1
0
) (
N
k
N
k h A
con e A H
N
k
j





0
( ) 0 H

=
=
y
( ) 0 H

=
=
. No es adecuado para el diseo de filtro filtros pasa-baja ni
pasa-alta. Se utilizan para disear transformadores de Hilbert (es un tipo de filtro pasa todo
que produce un desfase de
/ 2
a la seal de entrada ) y diferenciadores (determinan la
derivada de la seal de entrada).

Tipo IV: par N y k N h k h , ) 1 ( ) ( =
( )

=
|
|

\
|
(

=
=

2
1
2
) (
2
1
sin 2 ) (
) ( ) (
1
2
0
) (
N
k
N
k h A
con e A H
N
k
j





0
( ) 0 H

=
=
, como en el caso anterior se utiliza para disear Tranformadores de Hilbert y
diferenciadores.

La fase indicada en los dos casos anteriores considera que A() es positiva, si es negativa
incluiramos un retardo adicional de resultando
|

\
|
=
2
1
) (
N
para filtros
simtricos y |

\
|
=
2
1
2
3
) (
N

para antisimtricos.
Por lo tanto, si exigimos que los coeficientes de un filtro FIR presenten simetra par o
impar, aseguramos la linealidad de la fase y por tanto evitamos la distorsin de fase.

scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.5
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010
3.3.- Ubicacin de los ceros.

Los filtros FIR solo presentan polos en el origen, por lo que siempre son estables. Sobre el
posicionamiento de los ceros, resulta fcil demostrar que en los filtros de fase lineal los ceros
se dan en pares recprocos, es decir, si z
0
es una raz del polinomio H(z), tambin lo ser z
0
-1
.
Vemoslo:
La funcin de transferencia de un filtro FIR es:
( )

=
1
0
) (
N
k
k
z k h z H
si sustituimos z por z
-1
,
( ) ( )


=
+ +

= =
1
0
1 1
1
0
1
) (
N
k
k N N
N
k
k
z k h z z k h z H
realizando un cambio de variable en el ndice del sumatorio de forma que k=N-1-k,
( )

=

=
1
0 '
' 1 1
' 1 ) (
N
k
k N
z k N h z z H
si adems se trata de un FIR de fase lineal, ) 1 ( ) ( k N h k h = ,
( ) ) ( ' ) ( ) (
1
1
0 '
' 1 1
z H z z k h z z H
N
N
k
k N
= =


de lo que derivamos que las races del polinomio H(z
-1
) son tambin races de H(z).
Si adems queremos que los coeficientes sean reales, las races complejas deben aparecer
en forma de pares complejo conjugados.
Por ejemplo el diagrama de ceros y polos del filtro y(n)=x(n)-x(n-1)+2.79x(n-3)-2.79x(n-
4)+x(n-6)-x(n-7), es:
scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.6
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010
1.5 1 0.5 0 0.5 1
1
0.5
0
0.5
1
7
Real Part
I
m
a
g
i
n
a
r
y

P
a
r
t

1 0.5 0 0.5 1
0
5
10
|
H
(

)
|
/
1 0.5 0 0.5 1
20
10
0
10
|

)
|
(
r
a
d
)
/
0 0.2 0.4 0.6 0.8 1
3.5
3.5
3.5
3.5
3.5
3.5
3.5
3.5
3.5
3.5
Normalized Frequency ( rad/sample)
G
r
o
u
p

d
e
l
a
y

(
s
a
m
p
l
e
s
)


3.4.- Diseo de filtros FIR.

Existen tres grandes bloques de mtodos de diseo de filtros FIR con fase lineal:
Mtodo de las ventanas
Muestreo en frecuencia
Rizado constante (equiripple).
El mtodo de las ventanas se basa en acotar la respuesta impulsional infinita de un filtro
ideal, el mtodo del muestreo en frecuencia propone que se fijen una serie de puntos de la
respuesta en frecuencia del sistema y, a partir de la DFT inversa, obtener los coeficientes del
filtro. Por ltimo existe una familia de mtodos que se basan en definir la respuesta en
scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.7
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010
frecuencia ideal del filtro y, fijado un orden, obtener los coeficientes que generen la respuesta
ms aproximada, en particular, los ms comunes se basan en la aproximacin de Tchebyshev.

3.4.1.- Mtodo de las ventanas.

Si queremos implementar un filtro pasa baja con una respuesta ideal (transicin abrupta
de la banda pasante a la atenuada), la respuesta impulsional es infinita y no causal. Para
obtener un filtro FIR realizable se puede proponer truncar h(n) y retardarla hasta convertirla en
causal.
La respuesta impulsional del filtro ideal pasa baja viene dada por:
( )

=
0
0
) (
n
n
n
n sen
n h
c
c
c c
i


En la siguiente grfica mostramos algunas de sus muestras
-20 -15 -10 -5 0 5 10 15 20
-0.4
-0.2
0
0.2
0.4
0.6
0.8
1
sinc(x)

El mtodo de las ventanas se basa en truncar la respuesta impulsional infinita de un filtro
ideal. El procedimiento es el siguiente:
scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.8
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010
Obtener la respuesta impulsional del filtro ideal que deseamos disear ) (n h
i
(pasa-
baja, pasa-alta, etc.)
Enventanar (truncar) dicha respuesta impulsional. ) ( ) ( ) ( n w n h n h
i
= , w(n) es la
respuesta impulsional de la ventana y ) (n h
i
la respuesta del filtro ideal. La respuesta
de la ventana debe ser de la forma.


=
resto el en 0
2
1 - N
n
2
1 - N
intervalo el en simtrica funcin
) (n w
Desplazar la respuesta impulsional enventanada un nmero adecuado de muestras para
hacerla causal.(tambin se puede desplazar la respuesta impulsional del filtro ideal
previamente, para que la secuencia enventanada sea causal)

Como el producto en el dominio del tiempo equivale a una convolucin en el dominio de
la frecuencia, podemos estudiar el efecto que este enventanado tiene sobre la respuesta
frecuencial del filtro.


Extrado de: Discrete-time Signal Processing A. Oppenheim, R. Schafer

scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.9
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010

Extrado de: Discrete-time Signal Processing A. Oppenheim, R. Schafer

Consideremos la ventana mas sencilla; la ventana rectangular. Supongamos que la aplicamos
sobre una versin retardada de la respuesta impulsional ideal. La ventana estr definida
como:


=
N n
N n
n w
0
1 0 1
) (
su expresin en el dominio Z es:
1
1 2 1
1
1
... 1 ) (

+ +

= + + + + =
z
z
z z z z W
N
N N

con lo que su respuesta en frecuencia resulta,
|

\
|
|

\
|
=
|

\
|

2
sin
2
sin
) (
2
1

N
e W
N
j

scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.10
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010
0 0.2 0.4 0.6 0.8 1
70
60
50
40
30
20
10
0
Ventana rectangular N=31
|
W
(

)
|
(
d
B
)
Frecuencia
13.2018dB

1
=2/N


Se define la anchura del lbulo principal de la ventana como el doble del intervalo de
frecuencias hasta el primer nulo que para la ventana rectangular se producen en las frecuencias
1 ,..., 3 , 2 , 1
2
= = N k con
N
k
k


Vemos que el lbulo principal tiene una anchura que es inversamente proporcional a la
longitud de la ventana.
La anchura del lbulo principal para esta ventana es
N
4

Cuando N crece, el lbulo principal se estrecha. Los lbulos secundarios tambin se
estrechan y se atenan progresivamente, de forma que, en el lmite, cuando N tiende a infinito,
el lbulo principal es infinitamente estrecho y los secundarios desaparecen dando lugar a una
delta, lo que corresponde con el hecho de que una ventana de longitud infinita es una
secuencia de valor constante cuyo contenido espectral es nulo salvo para la componente de
continua. Dado que la respuesta en frecuencia del filtro diseado ser igual a la convolucin
en el dominio de la frecuencia de la respuesta en frecuencia del filtro ideal y de la ventana,
sta ltima jugar un papel determinante en las caractersticas del filtro obtenido.

El efecto del enventanado o truncamiento de la respuesta ideal es doble:
scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.11
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010
por una parte, la anchura del lbulo principal est relacionada con la aparicin de una
banda de transicin en el filtro. Cuanto mayor sea el lbulo principal mayor ser la banda
de transicin del filtro.
por otra, la presencia de lbulos laterales (secundarios) lleva a la aparicin de un rizado u
oscilaciones en la respuesta en frecuencia, en ambas bandas, (ms apreciables en la banda
no pasante).

A la vista de este anlisis, podemos tratar de mejorar las prestaciones del filtro real
aumentando el nmero de puntos considerados, sin embargo el incremento de la longitud del
filtro eleva su carga computacional (idealmente para N tendramos una seal de
continua, cuyo espectro es un impulso, por lo que al convolucionar obtendramos la respuesta
ideal del filtro). Una opcin que se plantea es generalizar el concepto de ventana y emplear
secuencias distintas de la rectangular para realizar el truncamiento de la respuesta impulsional
deseada.

Si tratamos de justificar el porqu de la aparicin de los lbulos secundarios vemos que se
debe a que la ventana rectangular presenta una discontinuidad abrupta que, al pasar al dominio
de la frecuencia, conlleva un reparto de la energa por todo el espectro a causa del aliasing.
Desde un punto de vista ms matemtico, en el estudio de las series de Fourier se determin
que si una funcin con una discontinuidad (filtro ideal) era aproximada mediante series de
Fourier aparecen sobreoscilaciones en las proximidades de la discontinuidad. A medida que el
nmero de trminos (ventana de mayor longitud) aumenta, el nivel de oscilacin va
disminuyendo, hasta hacerse cero cuando N , excepto en la discontinuidad en la que
aparece una sobreoscilacin de amplitud aproximada igual al 11% de la amplitud en la
discontinuidad, tanto en la banda pasante como en la no pasante. Este comportamiento
oscilatorio en las proximidades de la discontinuidad se conoce como EFECTO GIBBS.
scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.12
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010
0 0.2 0.4 0.6 0.8 1
0
0.2
0.4
0.6
0.8
1
1.2
1.4
comportamiento Ventana rectangular
c
=0.25
|
W
r
(

)
|
)
/
N=31
N=61
N=91
0.2 0.21 0.22 0.23 0.24 0.25 0.26 0.27 0.28
0.92
0.94
0.96
0.98
1
1.02
1.04
1.06
1.08
1.1
Filtro Ventana rectangular: EFECTO GIBBS
c
=0.25
|
H
(

)
|
/
N=1001
N=2001
N=3001

Por tanto si empleamos ventanas cuyos extremos se anulen progresivamente, conseguiremos
que los lbulos secundarios se atenen. Sin embargo, esta reduccin de los extremos se puede
interpretar, intuitivamente, como una reduccin de la longitud efectiva de la ventana, con lo
que se ensanchar el lbulo principal. Por tanto, se vislumbra la posibilidad de reducir la
energa de los lbulos secundarios a costa de aumentar la anchura de la zona de transicin del
filtro.
Son numerosas las funciones planteadas para enventanar la h(n) ideal y el decidirse por
una u otra depende de las caractersticas de nuestro problema, es decir, si dada una longitud
del filtro, estamos ms interesados en reducir al mximo la zona de transicin (ventana
rectangular), atenuar lo ms posible los lbulos secundarios (p.e. ventana Blackman) u optar
por una solucin de compromiso. La expresin matemtica de alguna de las principales
ventanas es:
Ventana Secuencia temporal 0 n N-1
Rectangular


N n
N n
0
1 0 1

Bartlett
(triangular)

1
2
1
1
2
2
2
1
0
1
2
N n
N
N
n
N
n
N
n

Von Hann
(Hanning)
1
2
1
2
1

|
\

| cos
n
N

Hamming
054 0 46
2
1
. . cos

n
N

Blackman
1
4
cos 08 . 0
1
2
cos 5 . 0 42 . 0


N
n
N
n

scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.13
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010

Ventana
Anchura del
lbulo ppal de la
ventana
Anchura de la
banda de transicin
del filtro diseado

Pico Lbulo
secundario de la
ventana(dB)
Atenuacin del filtro
diseado con esta
ventana Rs(dB)
Rectangular
N
4

N
8 . 1

-13 -21
Bartlett
(triangular)
N
8

N
1 . 6

-25 -25
Von Hann
(Hanning)
N
8

N
2 . 6

-31 -44
Hamming
N
8

N
6 . 6

-41 -53
Blackman
N
12

N
11

-57 -74

Su representacin grfica en el dominio del tiempo y su espectro son:
0 20 40 60 80 100
0
0.2
0.4
0.6
0.8
1
Rectangular
Bartlett
Hanning
Hamming
Blackman
0 0.02 0.04 0.06 0.08 0.1 0.12 0.14 0.16
58.0
43.0
32.0
27.0
13.0
0.0
|
W
(

)
|
(
d
B
)
)
/
Rectangular
Bartlett
Hanning
Hamming
Blackman

Por ltimo, podemos ver el resultado de disear un filtro FIR paso bajo con frecuencia de
corte normalizada de 0.25 y una longitud de 101.
scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.14
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010
0.25 0.3 0.35 0.4 0.45 0.5
74
53
44
25
21
|
H
(

)
|
(
d
B
)
)
/
Diseo con ventanas.
c
=0.25
Rectangular
Bartlett
Hanning
Hamming
Blackman
0.1 0.12 0.14 0.16 0.18 0.2 0.22
0.96
0.98
1
1.02
1.04
1.06
|
H
(

)
|
/
Diseo con ventanas.
c
=0.25
Rectangular
Bartlett
Hanning
Hamming
Blackman
Rizado en banda pasante
0.3 0.35 0.4
0
0.01
0.02
0.03
0.04
0.05
0.06
0.07
0.08
0.09
|
H
(

)
|
/
Diseo con ventanas.
c
=0.25
Rectangular
Bartlett
Hanning
Hamming
Blackman
Rizado en banda atenuada


Otras ventanas:
En las ventanas consideradas, la anchura del lbulo principal es inversa al valor de N y la
atenuacin del lbulo secundario no depende del orden sino del tipo de ventana. El orden
determina la anchura de la banda de transicin. Estas ventanas no son muy verstiles para el
diseo de filtros ya que no podemos controlar la anchura de la banda de transicin y la
atenuacin independientemente. Existen otro tipo de ventanas como la de Kaiser, definida con
2 parmetros N y , que nos permiten controlar ambos parmetros independientemente. Su
definicin es ms compleja, a partir de funciones de Bessel. La gran utilidad de esta ventana es
que existen expresiones aproximadas para la eleccin de parmetros de diseo, adems
modificando los valores de podemos tener formas de la ventana similares a la anteriores.
scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.15
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010
0 0.2 0.4 0.6 0.8 1
140
120
100
80
60
40
20
0
20
Ventana de Kaiser =6
|
W
(

)
|
)
/
N=31
N=61
N=91

0 0.2 0.4 0.6 0.8 1
180
160
140
120
100
80
60
40
20
0
Ventana de Kaiser N=31
|
W
(

)
|
)
/
=1
=6
=10


Las ecuaciones de diseo son las siguientes:
Dados
2 1
, , ,
s p
,
Para la obtencin de la respuesta del filtro ideal tomaremos ( )
s p c
+ =
2
1

( ) ( )
2 1 10
, min log 20 = A
=

+ < <

01102 8 7 50
05842 21 0 07886 21 21 50
0 21
0 4
. ( . )
. ( ) . ( )
.
A A dB
A A A
A

>

=
=

=
21 922 . 0
21
36 . 14
95 . 7
1
A
A
A
D
D siendo
F F F
N
F D
F
p s
m


Como resumen, el mtodo de diseo por ventanas se basa en, dada una longitud del filtro
N, obtener los N trminos de la respuesta impulsional del filtro ideal que, al multiplicarlos por
la funcin de pesos (ventana), nos dan los coeficientes del filtro real. Como los trminos de la
respuesta ideal y las ventanas son simtricos respecto al punto central, el filtro presenta fase
lineal.

scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.16
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010
3.4.2.- Mtodo del muestreo en frecuencia.

Vamos a definir la respuesta en frecuencia de un filtro a partir de fijar N puntos de
H(). Para mayor simplicidad, supongamos que los puntos escogidos estn uniformemente
distribuidos por todo el espectro digital. Podemos obtener h(n) a partir de la Transformada de
Fourier inversa de {H(k)}, versin muestreada de la H().
En un apartado anterior vimos que en funcin de que la longitud del filtro sea par o impar
y la simetra de los coeficientes sea par o impar, tenemos cuatro tipos de filtros, con 4
expresiones de la relacin entre h(n) y A() (A() es la amplitud, que puede ser positiva o
negativa pero siempre es una magnitud real) que presentan unas relaciones de simetra
interesantes.

Tipo Longitud Coeficientes Simetra en =0 Simetra en = Periodicid.
I impar simtricos
Par ( ) ( ) = A A Par ( ) ( ) = + A A 2
II par simtricos
Par ( ) ( ) = A A Impar ( ) ( ) = + A A 4
III impar antisimtricos
Impar ( ) ( ) = A A Impar ( ) ( ) = + A A 2
IV par antisimtricos Impar
( ) ( ) = A A
Par ( ) ( ) = + A A 4


scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.17
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010
Veamos con detalle el diseo de un filtro de tipo I.
{ } h n IDFT H k
N
H k e
N
A k e
j
kn
N
k
N
j
k
N
n
N
k
N
( ) ( ) ( ) ( ) = = = =
=


|
\

|
=


1 1
2
0
1
2
1
2
0
1



= + +

|
\

|
=

|
\

|
=
+


1
0
2
1
2
1
1
2
2
1
2
1
2
1
N
A A k e A k e
j
k
N
n
N
k
N
j
k
N
n
N
k
N
N
( ) ( ) ( )


haciendo el cambio en el ndice del segundo sumatorio con k=N-k, obtenemos:
h n
N
A A k e A N k e e
j
k
N
n
N
k
N
j
k
N
n
N
j n
N
k
N
( ) ( ) ( ) ( ' )
'
'
= + +

|
\

|
=


|
\

|
|
\

|
=


1
0
2
1
2
1
1
2
2
1
2
2
1
2
1
1
2

como A(k) es simtrico respecto al punto medio (=):
=

+ + =


=
|

\
|

=
|

\
|
2
1
1 '
2
1 '
2 2
1
1
2
1
2
) ' ( ) ( ) 0 (
1
) (
N
k
N
n
N
k
j
N
k
N
n
N
k
j
e k A e k A A
N
n h


= + +

(
(
(

|
\

|
|
\

|
=

1
0
2
1
2
2
1
2
1
1
2
N
A A k e e
j
k
N
n
N
j
k
N
n
N
k
N
( ) ( )


con lo que:

\
|
+ =

=
2
1
1
2
1
2 cos ) ( 2 ) 0 (
1
) (
N
k
N
n
N
k
k A A
N
n h

Para el resto de tipos, obtenemos expresiones similares (muestras igualmente espaciadas y
la primera en =0):
Tipo I Longitud: Impar.
Simetra: Par

\
|

+ =

=
2
1
1
2
1
2 cos ) ( 2 ) 0 (
1
) (
N
k
n
N
N
k
k A A
N
n h

Tipo II Longitud: Par.
Simetra: Par

\
|

+ =

=
1
2
1
2
1
2 cos ) ( 2 ) 0 (
1
) (
N
k
n
N
N
k
k A A
N
n h

scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.18
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010
Tipo III Longitud: Impar.
(N es impar)
Simetra: Impar

\
|

=
2
1
0
2
1
2 sin ) ( 2
1
) (
N
k
n
N
N
k
k A
N
n h

Tipo IV Longitud: par.
(N es par)
Simetra: Impar

|
|

\
|
|

\
|

\
|
+
(

\
|

=
1
2
0
2
1
sin
2 2
1
2 sin ) ( 2
1
) (
N
k
n
N N
A n
N
N
k
k A
N
n h


Con este mtodo de diseo, obtenemos un filtro cuya respuesta en frecuencia pasa por los
puntos fijados, sin embargo, en principio no controlamos el resto de los valores de la
respuesta. Veamos la transformada Z del filtro definido para interpretar el mtodo del
muestreo uniforme en frecuencia desde otro punto de vista:
=
(

=
(

= =


=

1
0
1
0
1
2
1
0
1
0
2
1
0
) (
1
) (
1
) ( ) (
N
k
N
r
r
k
N
j
N
r
r
N
k
kr
N
j
N
r
r
z e k H
N
z e k H
N
z r h z H



=

=

=

(


=
1
0 1
2
1
0 1
2
1
2
1
1
) (
1
1
1
) (
1
N
k
k
N
j
N N
k
k
N
j
N
k
N
j
z e
k H
N
z
z e
z e
k H
N


es decir, H(z) puede obtenerse a partir de una frmula de interpolacin de los valores de H(k)
fijados para la obtencin del filtro.
La expresin de H(z) nos indica que sta se puede expresar como una descomposicin en
cascada de dos filtros. A su vez el segundo filtro esta expresado como una descomposicin en
paralelo que tendr tantos trminos como valores no nulos tenga H(k). Si se trata de filtros en
los que la banda de paso es estrecha, el nmero de muestras no nulas ser pequeo por lo que
esta estructura ser ms eficiente que estructuras directas. La desventaja es que se pueden
originar inestabilidades si por efectos de redondeo la cancelacin polo-cero no es exacta, ya
que estamos implementando este filtro FIR de forma recursiva. En temas posteriores
analizaremos con detalle la estructura del Muestreo en Frecuencia.

En las siguientes grficas mostramos un ejemplo de diseo de filtros FIR de fase lineal, por el
mtodo del muestreo en frecuencia, para cada uno de los cuatro tipos posibles.
scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.19
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010
0 0.5 1 1.5 2
0
0.2
0.4
0.6
0.8
1
1.2
1.4
|
H
(

)
|
/
Tipo I
Filtro diseado
Muestras A()


scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.20
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010
0 0.5 1 1.5 2
1
0.5
0
0.5
1
1.5
|
H
(

)
|
/
Tipo II
Filtro diseado
Muestras A()
0 0.5 1 1.5 2
1
0.5
0
0.5
1
1.5
|
H
(

)
|
/
Tipo III
Filtro diseado
Muestras A()
scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.21
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010
0 0.5 1 1.5 2
0
0.2
0.4
0.6
0.8
1
1.2
1.4
|
H
(

)
|
/
Tipo IV
Filtro diseado
Muestras A()

Utilizacin de mtodo:
Dada la respuesta ideal del filtro, elegir la longitud y tomar N muestras equiespaciadas
en el intervalo [0,2].
Utilizar las frmulas explcitas dadas anteriormente o la transformada discreta de
Fourier inversa (Matlab) para determinar h(n). (Este ltimo proceso no tiene en cuenta
la simetras, pero existen variantes rpidas, ifft() que permite hacer este clculo
eficientemente)

Caractersticas del filtro diseado:
El error de aproximacin (diferencia entre el filtro ideal y el diseado) es cero en las
frecuencias muestreadas.
El error de aproximacin en el resto de frecuencias depende de la respuesta ideal.
Transiciones bruscas en la respuesta en frecuencia implican mayores errores.
El error es mayor en los lmites de las bandas y menor dentro de ellas.

El problema que se presenta es la aparicin de rizado y sobreoscilaciones en los puntos de
discontinuidad de la respuesta deseada y que son, a priori, difciles de evaluar. La solucin es
ampliar la zona de transicin, evitando la cada abrupta, para ello se puede optar por
scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.22
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010
transiciones ms suaves entre bandas, tal como se ha hecho en el diseo del filtro de tipo I.
Otra posibilidad es dejar sin fijar uno o dos puntos en la zona de transicin y definir un
procedimiento de clculo que localice estos puntos minimizando el rizado en las bandas
pasante y no pasante.

Hasta aqu hemos considerado el caso de fijar N puntos equidistantes en el espectro y tal
que el primer punto coincida con el nivel de continua, sin embargo, existen otras posibilidades
como el tomar otro origen para fijar los puntos o permitir la seleccin de puntos
irregularmente distribuidos a lo largo del espectro, seleccionar zonas no importa en las
cuales no imponemos condiciones, etc.

3.4.3.- Diseo por aproximacin de Tchebyshev.

Los mtodos anteriores son sencillos de implementar pero tienen desventajas, ya que no
se pueden especificar
p
y
s
de forma precisa. Los valores de
1
y
2
no se pueden elegir
independientemente.(En el mtodo de las ventanas
1
=
2
, y en el mtodo del muestreo en
frecuencia en el mejor de los casos existen mtodos para optimizar respecto de
2
), adems el
rizado no se distribuye uniformemente en las bandas. Si el error se distribuye uniformemente
podemos disear filtros que verifican las especificaciones con menor orden. El mtodo que
lleva a cabo esta distribucin del error se denomina Mtodo de diseo de filtros ptimos de
rizado constante.
Se plantea el diseo del filtro como un problema de aproximacin de Tchebyshev, para
ello se propone un criterio de diseo ptimo, en el sentido de que el error de aproximacin
entre la respuesta en frecuencia ideal y la real se reparten uniformemente en cada banda,
pasante y atenuada (de ah el apelativo de equiripple), minimizando el error mximo en cada
una de ellas. El filtro resultante presenta, pues, rizado en ambas bandas.
Para su diseo consideramos 5 caractersticas:
N el orden del filtro

p
lmite superior de la banda pasante

s
lmite inferior de la banda atenuada
scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.23
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010

1
mximo rizado de la banda pasante

2
mnima atenuacin de la banda atenuada.
El problema se plantea como la minimizacin de una funcin de error definida como

[ ]
banda cada en permitido error el r especifica para pesos de funcin : ) (
ideal filtro del respuesta : ) (
) ( ) ( ) ( ) (


W
H
H H W E
D
D
=


Dada esta funcin de error el objetivo es hallar los coeficientes h(n) que minimizan el valor
de E( ) en toda la banda, permitiendo un valor mximo del error especfico dado por
1
y
2

( ) [ ]

E
es coeficient
max min
1

Ejemplo:
Para el diseo de un filtro con error en valor absoluto menor que
1
tendramos:

=

s
p
s
p
s
p
D
D
W
E
H
W
H H E


2
1
2
1
1
1
1
) (
) (
0
1
) (
) (
) ( ) ( ) (


Para su diseo debemos encontrar los valores del filtro que minimizan la funcin de error.
Parks y McClellan resolvieron el problema en 1972 con el Teorema de la Alternancia
basndose en la teora de aproximaciones de Tchebyshev y empleando el algoritmo de
intercambio de Remez. El resultado final es un procedimiento en el cual se escogen 4 de los

1
Si en lugar de minimizar el valor absoluto del error, minimizamos el error cuadrtico medio sobre el
intervalo de frecuencias obtenemos otro mtodo de diseo conocido como Least Square, que en Matlab est
implementado con la funcin firls().
scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.24
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010
parmetros citados y el algoritmo optimiza el valor de los coeficientes minimizando el valor
del parmetro restante.
Estos filtros se disean en Matlab mediante la instruccin remez(). Como paso previo a su
utilizacin es necesario hacer una estimacin del orden del filtro que verifica estos requisitos
mediante la instruccin remezord().
Veamos el resultado de disear un filtro FIR pasa-baja de fase lineal y rizado constante con
las siguientes especificaciones:
Fp=200Hz, Fs=250Hz, Fm=1000Hz,
1
=0.1 y
2
=0.01.

0 0.2 0.4 0.6 0.8 1
0
0.5
1
1.5
|
H
(

)
|
/
Orden=25
0 0.2 0.4 0.6 0.8 1
100
50
0
50
|
H
(

)
|
(
d
B
)
/

scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.25
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010

3.4.4.- Comparacin entre los distintos mtodos de diseo.

MTODO DE LAS VENTANAS
Histricamente, fue el primero en aparecer, los otros dos se desarrollaron en la dcada
de los 70.
No fija de manera adecuada las frecuencias crticas
p
y
s
ya que stas dependen del
tipo de ventana y de la longitud seleccionada.
MUESTREO EN FRECUENCIA
Controlamos perfectamente la anchura de la zona de transicin, ya que es igual a 2/N.
Hay procedimientos rpidos para el clculo de los coeficientes, bien basndose en la
FFT, bien con las ecuaciones propuestas anteriormente. Especialmente interesante si la
mayor parte de los puntos el mdulo de la ganancia son cero o uno.
Como inconveniente, se tiene un pobre control de la respuesta fuera de esos puntos y el
procedimiento puede convertirse en un esquema de prueba y error.
APROXIMACIN DE TCHEBYSHEV
Permite un control total de las caractersticas del filtro en cuanto a frecuencias,
ganancias y longitud.
No existe una forma fcil de optimizar el diseo respecto a la longitud del filtro,
aunque existen aproximaciones como la de Kaiser:
( )
$
log
.
N
f
con f
s p
=

+ =
20 13
14 6
1
2
10 1 2



o la ms compleja, pero precisa, de Herrmann:
1
) )( , ( ) , (

2
2 1 2 1
+


=

f
f f D
N


donde, D

(
1
,
2
)=[0.005309(log
10

1
)
2
+0.07114(log
10

1
)-0.4761](log
10

2
)-
[0.00266(log
10

1
)
2
+0.5941(log
10

1
)+0.4278]
f (
1
,
2
)=11.012+0.51244(log
10

1
- log
10

2
)

scola cnica uperior nginyeria
Departament dEnginyeria Electrnica

3.26
FILTROS DIGITALES
M. MARTNEZ, L. GMEZ, A. J. SERRANO, J. VILA, J. GMEZ
CURSO 2009-2010
Funciones de Matlab relacionadas:

Tipos de ventanas:

Rectangular: boxcar()
Triangular: bartlett()
Hamming: hamming()
Von Hann: hanning()
Blackman: blackman();
Kaiser: kaiser()

Diseo de filtros Fir por el mtodo de las ventanas: fir1()
Diseo de filtros Fir por el mtodo del muestreo en frecuencia fir2()
Estimacin del orden para diseo de rizado constante: remezord()
Diseo de filtros de rizado constante: remez()
Diseo de filtros minimizando el error cuadrtico firls()

You might also like