You are on page 1of 5

ANTENNA EFFECT

Plasma charging damage refers to the unintended high-field stressing of the gate-oxide in MOSFET during plasma processing. The available charges are the net charges collected from the plasma by the exposed conductor with connection to the gate or substrate. Both electrons and positive ions from the plasma are impinging on the exposed conductor during processing. Depending on the charge balance condition, the electron flux might not equal the ion flux, a net positive or negative charge collection rate exists. The collected net charges are channeled to the gate as shown in fig. 1 where it is neutralized by the current tunneling across the gate-oxide. Clearly, the size of the conductor exposed to the plasma plays a role in determining the magnitude of the net charge collection rate and therefore the tunneling current by fowler nordheim tunneling. This is the so called antenna effect. The area ratio of the conductor to the oxide under the gate is the antenna ratio. Higher tunneling current means higher damage.

It occurs during the manufacturing process and renders a die useless.During metallization (when metal wires are laid across devices), some wires connected to the polysilicon gates of transistors can be left floating (unconnected) until the upper metal layers are deposited. A long floating interconnect (without proper shielding layer of oxide) can act as a temporary capacitor, collecting charges during fabrication steps, such as plasma etching. If the energy built up on the floating node is suddenly discharged ,the logic gate might suffer permanent damage due to transistor gate oxide breakdown.

If the connection to silicon does not exist, charges and may build up on the inter-connect to the point at which rapid discharge does take place and permanent physical damage results, e.g., to MOSFET gate oxides. This destructive phenomenon is known as the 'antenna effect'. 'Antenna ratio' is defined as the ratio between the physical area of the conductors making up the antenna to the total gate oxide area to which the antenna is electrically connected. A higher ratio implies a greater propensity to fail due to the antenna effect. This can result either from a relatively larger area to collect charge or a reduced gate oxide area on which the charge is concentrated .

Design Solution to reduce Antenna Effects: Most important methods are jumper insertion and diode insertion to remove antenna violation. A jumper is a forced layer change from one metal layer to another, and then back to the same layer. Jumper insertion breaks up a long wire so that the wire connected to the gate input is shorter and less capable of collecting charge, as shown in Figure. The advantage of jumper insertion is that it is fully controlled by the routing tool. The disadvantage is that it can potentially contribute to routing congestion problems in upper metal layers

In most of the tools, jumper insertion is performed automatically during the routing. After detailed routing, you can fix antenna violations manually by inserting jumpers by using commands corresponding to the tool you are using.

Diode Insertion:

As shown in Figure, diode insertion near a logic gate input pin on a net provides a discharge path to the substrate so that built-up charges cannot damage the transistor gate. Unfortunately, diode insertion increases cell area and slows timing due to the increase of logic gate input load. Moreover, diode insertion is not feasible in regions with very high placement utilization. In most of the tools, diode insertion is performed automatically when you use the routing command. You can manually insert diodes using the corresponding tools commands. There are two points in the design flow where you can insert diodes to fix antenna violations.

Design Rules for Some Current Technologies TSMC 0.18um Metal antenna ratio is not cumulative. Maximum drawn ratio of field poly perimeter area to the active poly gate area connected directly to it 200.

You might also like