You are on page 1of 45

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales.

s. Lgica combinacional -----------------------------------------------------------------------------------------------------------CAPITULO 5: SISTEMAS LGICOS DIGITALES (5-1, 5-2, 5-3, 5-4, 5-5) INTRODUCCIN A LA REPRESENTACIN DE LA INFORMACIN

Representaciones numricas: La ciencia, la tecnologa, la administracin, etc. Manejan cantidades. Estas cantidades, se miden, se monitorean, se registran, se manipulan aritmticamente, se aplican en procesos fsicos etc. A las cantidades, las necesitamos representar con valores que permitan obtener eficiencia y exactitud, Existen dos maneras de representar los valores numricos de estas cantidades: la representacin analgica y la representacin digital. Representacin analgica: En esta representacin las cantidades se presentan como una tensin elctrica, una corriente elctrica, movimiento de un indicador, etc. La caracterstica principal de esta representacin, es que las cantidades o variables, pueden variar gradualmente sobre un intervalo continuo de valores. De otra forma podemos decir que una variable analgica puede tomar infinitos valores. Ejemplo: seal analgica de tensin elctrica de la voz humana captada por un micrfono y reproducida linealmente por un parlante, usando como medio amplificador, un circuito electrnico analgico o lineal. Representacin digital: En la representacin digital, las cantidades no se representan por valores proporcionales, sino por smbolos denominados dgitos, siendo estos valores discretos. Como ejemplo, tenemos a los sistemas digitales binarios que utilizan dos (2) dgitos representados en forma nemnica con los smbolos 0 y 1. Los circuitos electrnicos que trabajan con este sistema de representacin, lo hacen utilizando dos niveles de tension elctrica diferenciados. Una representacin analgica, me permite con frecuencia interpretar su variacin. Por ejemplo la temperatura captada por una termocupla, genera una tensin elctrica que aumenta o disminuye en funcin de la temperatura que esta detectando. En cambio en una representacin digital, no es fcil su interpretacin dado que previamente debemos conocer el sistema y cdigo empleado. Sistemas analgicos: Son conjuntos de dispositivos que manejan cantidades en forma analgica, es decir trabajan con variables continuas. Ejemplos de ellos, son los transmisores y receptores electrnicos de radiofrecuencia, amplificadores de audio, odmetros de aguja, etc. Sistemas digitales: Son combinaciones de dispositivos diseados para manipular cantidades fsicas o informacin, en forma digital (discreta). La mayora de las veces son electrnicos, pero tambin pueden ser elctricos, mecnicos neumticos o magnticos. _____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli 1

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------Ejemplo de ellos son las calculadoras de bolsillo, computadores personales, equipos digitales de audio y video, etc. Ventajas de las tcnicas digitales: A- Son mas fciles de disear. Como trabajan con dispositivos de conmutacin, los valores exactos de corriente o tensin no interesan; solamente un rango de sus valores extremos (alto, bajo). B- Fciles para almacenar informacin. Permiten almacenar informacin y retenerla el tiempo que sea necesario, utilizando por ejemplo memorias magnticas o electrnicas. C- Mayor exactitud y precisin. Los sistemas digitales pueden manejar el nmero de dgitos de precisin que se necesite, agregando mayor cantidad de circuitos de conmutacin. En los sistemas analgicos, en general esta limitado a tres o cuatro dgitos, ya que los valores de tensin y de corriente, dependen directamente de los circuitos empleados. D- Programacin de la operacin. Es relativamente sencillo disear sistemas cuya operacin este controlada por medio de un grupo de instrucciones archivadas denominados programa (software). Los sistemas analgicos se pueden programar pero la variedad y complejidad de las operaciones disponibles, estn limitadas. Ejemplo de esto ltimo, es la computadora analgica. E- Ruido elctrico. Los circuitos digitales son afectados en menor medida por el ruido elctrico, dado que trabajan solamente con dos valores de tensin elctrica, que no se requiere que sean precisos en su magnitud; solamente se necesita diferenciar el valor alto de tensin, sobre el valor bajo. E- Construccin. Se pueden fabricar ms circuiteria digital sobre pastillas de circuito integrado. En este caso, los circuitos analgicos tambin se benefician utilizando las tcnicas integradas, pero como necesitan capacitores de gran tamao, resistencias de precisin inductores y transformadores, han impedido que alcancen un grado de integracin similar a los digitales.

Limitaciones de las tcnicas digitales La principal desventajas de los sistemas digitales, es que las cantidades o variables a procesar, en muchos casos son analgicas. La temperatura, la presin, la velocidad, la posicin, los niveles, etc., varan en Gral., en forma continua y su interpretacin y uso, en Gral. Tambin es analgica. Ejemplos de de estos casos, tienen la variacin de velocidad de un motor elctrico, cambio de posicin de una vlvula etc. Para aprovechar las tcnicas digitales cuando se tienen entradas y salidas analgicas, se deben realizar tres procesos 1) Convertir las entradas analgicas del mundo real a la forma digital 2) Procesar la informacin digital a travs de un sistema lgico combinacional o secuencial cableado o a travs de un sistema programable, como lo es una computadora. 3) Convertir las salidas digitales a la forma analgica del mundo real, para aplicarla o interpretarla.

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------Veamos el diagrama en bloques de un control de temperatura digital:

Temperatura

Seal Analgica Convertidor analgico digital DAC

Seal digital Procesamiento digital del algoritmo de control

Seal digital

Dispositivo de medicin, transductor

Temperatura Controlador variable de salida

Seal analogica

Convertidor digital analgico ADC

SISTEMAS PARA REPRESENTACIN DE CANTIDADES NUMRICAS Sistema unario: I I I I I I I I I I I I .. Sistema Romano: I V X L C D M .......... Sistemas de numeracin posicional

Binario: 0,1 (dos smbolos) Octal: 0,1,2,3,4,5,6,7,8 (ocho smbolos) Decimal: 0,1,2,3,4,5,6,7,8,9 (diez smbolos) Hexadecimal: 0,1,2,3,4,5,6,7,8,9,A,B,C,D,E,F (16 smbolos)

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------Sistema decimal Es el mas conocido y utilizado en el mundo real. Se compone de 10 smbolos. Al utilizar estos smbolos como dgitos de un nmero, podemos expresar cualquier cantidad. Es un sistema de valor posicional, en el cual el valor de cada dgito, depende de su posicin dentro del nmero. Ejemplo: 5: representa cinco centenas; es el digito ms significativo 576 7: representa siete decenas 6: representa seis unidades; es el digito menos significativo En este sistema los nmeros representan una serie de potencias en base diez. 2 1 0 576 = 5x 10 + 7x 10 + 6 x 10 2 1 0 -1 -2 256,37 = 2 x 10 + 5 x 10 +6 x 10 +3 x 10 + 7 x 10 La coma (punto), separa las potencias positivas de 10 de las potencias negativas. Conteo decimal: Se comienza a contar con el cero en la posicin de las unidades tomando cada numero en progresin hasta llegar al nueve; luego colocamos un uno (1) a la siguiente posicin mas alta a la izquierda de las unidades y volvemos a empezar con el cero en la primera posicin. Cuando llegamos al 99 colocamos un 1 a la tercera posicin y se empieza de nuevo con ceros en las dos primeras posiciones. Con dos espacios decimales contamos hasta 100 (0 al 99) N En Gral. con N espacios, podemos contar hasta 10 nmeros diferentes siendo el mayor N Numero 10 1 Sistema binario natural: Utiliza dos smbolos, el 0 y el 1 para representar cantidades numricas. Es un sistema de valor posicional donde cada digito binario tiene su valor propio, expresado en potencias en base 2 10011 = 1 x 24 + 0x 23 + 0 x 22 +1 x 21 +1 x 20 = 19 2 10 Dgito binario menos significativo (LSD) = bit menos significativo Dgito binario mas significativo (MSD) = bit mas significativo Valor posicional Numero binario 24 23 22 21 1 0 0 1 20 1 4

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------Veamos otro ejemplo: 4 3 2 1 0 -1 -2 -3 1 1 0 1 1 , 0 1 1 = 1 x 2 +1 x 2 + 0 x 2 + 1 x 2 + 1 x 2 + 0 x 2 + 1 x 2 + 1 x 2 2 = 27,375 10 Conteo binario: Es similar al sistema decimal. Por ejemplo vamos a contar con nmeros de 4 bits, (3) (2) (1) (0) 2 2 2 2 dec. 0 0 0 0 0 0 0 0 1 1 0 0 1 0 2 0 0 1 1 3 ................. ................. ................ 1 1 1 1 15 (8)(4) (2) (1) 0---------15

Valor posicional

Valor posicional

Con una representacin de 4 bits podemos contar hasta 16 N 4 Nmero de conteo: 2 si N=4 2 = 16 8 N=8 2 = 256 Conversin de un nmero binario natural a decimal Ejemplo: 11010 (binario)

4 3 2 1 0 1 x 2 +1 x 2 + 0 x 2 + 1 x 2 + 0 x 2 = 16 + 8 + 0 + 2 + 0 = 2 6 10 11010 = 26 2 10 Conversin de un nmero decimal a binario natural Tenemos dos mtodos: A)-Proceso inverso: Se expresa el nmero decimal como una suma de potencias en base 2 comenzando por el de mayor valor que se resta al n decimal; del resultado, se busca la potencia que corresponde y as sucesivamente. _____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli 5

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional ------------------------------------------------------------------------------------------------------------

Ejemplo: 96 - 64 = 32 ; 32 - 0 = 32 10 96 = 1100000 10 2 Ejemplo: 45 10 45 - 32 = 13 ; 13 - 8 = 5 5 - 4 = 1 ; 1 - 0 = 1 5 45 10 1 45 = 10 101101 2 0 1 1 0 1 4 3 2 1 0 + 2 1 > 6 5 4 3 2 1 0 96 = 2 + 2 + 0 + 0 + 0 + 0 + 0 1 1 0 0 0 0 0

= 32 + 0 + 8 + 4 + 0 + 1 = 2 + 0 + 2 + 2 +

B ) Divisin repetida: El nmero decimal se divide por 2; el resto , es el bit menos significativo. El resultado nuevamente se divide por 2 ; el resto es el bit ms significativo que el primero y as sucesivamente hasta finalizar la divisin. Los restos de la divisin forman el n binario comenzando por el LSB y terminando por el MSB. Ejemplo: Convertir a binario el n decimal 37 37/2 = 18 + resto de 1 18/2 = 9 + 0 9/2 = 4 + 1 4/2 = 2 + 0 2/2 = 1 + 0 = 0+ 1

37 = 100101 10 2

Ejemplo: Convertir a binario el n fraccionario 0,375 de base decimal 0,375 x 2 = 0,750 --------0 0,750 x 2 = 1,500 --------1 0,500 x 2 = 1,000 --------1

0,375 = 10

0,011 2

Problema: Convertir el n decimal real 37,62 a binario natural. El procedimiento consiste en separar la parte entera y la parte fraccionaria y convertirla a binario segn los procedimientos que hemos visto: _____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli 6

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------= 100101,10011 10 2 Conversin de un n binario con parte fraccionaria: Se convierten en forma separada la parte entera binaria de la fraccionaria Ejemplo: convertir a decimal el n binario 11101,0111 2 4 3 2 1 0 parte entera 11101 = 1x2 + 1x2 + 1x2 + 0x2 + 1x2 =16+8+4+1=29 2 -1 -2 -3 -4 parte fraccionaria 0,0111 = 0x2 +1x2 +1x2 +1x2 = 0+1/4 +1/8 +1/16 = 0,4375 11101,0111 2 = 29,4375 10 Resultado: 37,62

SISTEMA DE NUMERACIN OCTAL Es un sistema importante para el trabajo que se realiza con la confeccin de los programas de los sistemas programables, en el lenguaje de instrucciones.. Este sistema tiene base 8 o sea que tiene 8 dgitos para la representacin numrica: 0,1,2,3,4,5,6,7 Conversin de octal decimal 2 1 0 375 = 3x8 + 7x8 + 5x8 = 3x64 + 7x8 + 5x1 = 192 + 56 +5 = 253 8 10 Conversin de decimal a octal Usamos la divisin repetida por ocho (8) . Ejemplo: 266/8 = 33 + resto 2 33/8 = 4 + resto 1 266 = 412 4/8 = 0 + resto 4 10 8 Conversin de octal a binario La conversin se realiza convirtiendo cada dgito al sistema binario natural. Ejemplo: Convertir el nmero octal 375 al sistema binario 8 3 7 5 > 375 = 011111101 011 111 101 8 2 Conversin de binario a octal Es la operacin inversa. Los dgitos binarios (bits) se agrupan de a tres y se convierten a octal. _____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli 7

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------Ejemplo: Convertir el binario 1110010 al sistema octal 001 110 010 > 1 6 2 Utilidad del sistema octal 1110010 = 2 162 8

Es un medio taquigrfico para simplificar nmeros binarios, expresndolos en el sistema octal. Es de aclarar que los sistemas digitales de cualquier ndole, trabajan en el sistema binario. Por ejemplo un numero binario en una computadora esta materializado como una tensin elctrica de valor alto o bajo para el bit 1 y el bit 0 respectivamente. Estos nmeros binarios pueden representar desde el punto de vista del programa en ejecucin (software), datos numricos reales, direcciones de memoria o registros, un cdigo de instruccin, un cdigo que represente caracteres alfanumricos y otros no numricos o un grupo de bits que representen las condiciones en que se encuentren los dispositivos internos o externos al sistema programable.

SISTEMA DE NUMERACIN HEXADECIMAL

Este sistema emplea base 16 o sea tiene 16 smbolos para representar un nmero en este sistema: 0123456789ABCDEF Conversin del sistema hexadecimal al sistema decimal El procedimiento es similar a los casos anteriores Ejemplo: 2 1 0 356 = 3x16 +5x16 +6x16 = 768 + 80 + 6 = 854 16 10 Ejemplo: convertir el n hexadecimal 2AF al sistema decimal Rta: 2AF = 687 16 10 Conversin de un n decimal a Hexadecimal Utilizamos la divisin repetida. Por ejemplo vamos a convertir el n decimal 423 al sistema hexadecimal: 423/16 = 26 + resto 7 26/16 1/16 = = 1 + resto 10 0 + resto 1 423 = 1A 7 10 16

Conversin de hexadecimal a binario Se convierte cada digito hexadecimal al sistema binario segn la tabla prxima.

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------Ejemplo: Convertir el n hexadecimal 3 7 A al sistema binario. 3 7 A 0011 0111 1010 > 3 7 A = 001101111010 16 2 Tabla de conversin para nmeros hexadecimales y binarios

Hex 0 1 2 3 4 5 6 7

binario 0000 0001 0010 0011 0100 0101 0110 0111

Hex 8 9 A B C D E F

binario 1000 1001 1010 1011 1100 1101 1110 1111

Conversin de binario a hexadecimal Es el proceso inverso. Se agrupan los bits de a cuatro (4), comenzando por los menos significativos. Ejemplo: convertir el n binario 10011111010 a un n hexadecimal.

0100 4

1111 1010 F A

>

10011111010 = 4FA 2 16

Conteo decimal Ejemplos: a) - 38 39 3 A 3B 3C 3D 3E 3F 40 41 42 43 44 45 46 47 48 49 4 A 4B ......... b) - 6F8 6F9 6FA 6FB 6FC 6FD 6FE 6FF 700 701 702................................... La aplicacin del sistema hexadecimal es similar al octal. Se utiliza para simplificar las expresiones digitales binarias con gran nmero de bits. Por ejemplo un microprocesador PENTIUM que trabaja con datos externos de 64 bits, su expresin en smbolos hexadecimales, queda reducida 16 dgitos.

CDIGOS BINARIOS Cuando se representan nmeros, letras o palabras por medio de un grupo de smbolos, se dice que se encuentran codificados. Al grupo de smbolos se le llama cdigo. Por ejemplo, el sistema de conversin de n decimales a n binarios que hemos visto, se denomina cdigo binario directo o natural. _____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli 9

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------Cdigo decimal codificado en binario (BCD): En este cdigo cada digito decimal se lo convierte al sistema binario utilizando el cdigo binario directo, aprovechando para ello 4 bits para codificar cada dgito decimal. Ejemplo: 8 7 4 n decimal n codificado en BCD

1001 0111 0100

El cdigo BCD no es un sistema como el binario directo, octal hexadecimal o decimal, sino que es el sistema decimal en donde cada digito decimal es codificado en binario directo. Ejemplo: 137 = 10001001 n binario directo 10 2 137 = 000100110111 n BCD 10 En apariencia el cdigo BCD es ms engorroso porque necesita mas bits para representarlos. La ventaja principal de este cdigo, es la relativa facilidad de conversin al y desde el sistema decimal. La facilidad radica primordialmente en los circuitos electrnicos (hardware) que lo llevan a cabo. Cdigo Gray Se llama tambin cdigo de cambio mnimo. E n este cdigo cuando se pasa de una posicin a otra solo se cambia un bit dentro del grupo de cdigo. No tiene valor especifico. Se lo utiliza en transductores digitales de entrada de posiciones o desplazamiento. Dec. Binario GRAY Dec. Binario GRAY 0 1 2 3 4 5 6 7 0000 0001 0010 0011 0100 0101 0110 0111 0000 0001 0011 0010 0110 0111 0101 0100 8 9 10 11 12 13 14 15 1000 1001 1010 1011 1100 1101 1110 1111 1100 1101 1111 1110 1010 1011 1001 1000

En el cdigo binario, para pasar de 3 a 4 cambian dos bits. En cambio en el cdigo Gray siempre se cambia un solo bit. Esto disminuye los errores en el momento de pasar de una posicin a otra por lectura errnea.

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

10

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------Cdigos alfanumricos Los sistemas digitales, adems de procesar datos numricos, deben ser capaces de manejar informacin no numrica, como son las letras del alfabeto, signos de puntuacin y otros caracteres especiales. Para trabajar con estos caracteres, se los codifica en el sistema binario denominados cdigos alfanumricos. El cdigo ASCII (American Standard code for information interchangeCCITT N5), utiliza 7 bits. Por lo tanto se tienen 128 grupos de posibles cdigos.

Ejemplo: A = 1000001 ---- 101 -----41 2 8 16 Espacio en blanco = 0100000 ----- 040 ------20 2 8 16 < RETURN > = 0001101 ----- 015 ------0D 2 8 16 Ejemplo: Cuando en una computadora introducimos la instruccin en lenguaje BASIC GOTO 25, esta instruccin se guarda en la memoria RAM en el sistema binario con el cdigo alfanumrico ASCII: G O T O Espacio 2 5 > 1000111 > 1001111 > 1010100 > 1001111 > 0100000 > 0110010 > 0110101

Actualmente esta tambin el cdigo ASCII extendido con 8 bits lo que posibilita codificar 256 smbolos 7 8 2 = 128 2 = 256

REPRESENTACIN DE CANTIDADES BINARIAS

Dado que los sistemas binarios utilizan dos bits ( 0 y 1), es posible representar cualquier cantidad binaria con dispositivos que presenten dos estados posibles de operacin. Por ejemplo si utilizamos un sistema de interruptores o contactos elctricos, podemos asignar al contacto cerrado el 1 y al contacto abierto el 0. (Podra ser al revs).

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

11

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional ------------------------------------------------------------------------------------------------------------

Otros dispositivos con dos estados, puede ser un rel energizado o desenergizado, un diodo activado o desactivado, una fuente luminosa encendida o apagada. Los sistemas electrnicos digitales utilizan niveles de tensin elctrica para representar la informacin binaria. Estos niveles se presentan y se miden en las entradas y salidas. Por ejemplo en los sistemas que trabajan con lgica positiva, cero voltios representa el binario cero ( 0 ) y cinco voltios representa el binario uno ( 1 ). En la prctica estos niveles no son exactos y dependen de la tecnologa electrnica empleada en la construccin del sistema digital. Tcnicamente hablando depende del tipo de familia lgica empleada. En trminos grales podemos decir que el cero binario puede valer entre 0 y 0,8 voltios. El uno binario puede estar comprendido entre 2 y 5 voltios. La tensin intermedia entre 0,8 y 2 voltios, no se utiliza; los dispositivos digitales solamente transitan por esta zona en su transicin de un estado al otro.

5V 4V NIVEL LOGICO 1 3V 2V } 1V NIVEL LOGICO 0 0V 0V No se utiliza

Circuitos lgicos: A los circuitos digitales de cualquier ndole tambin se les denominan circuitos lgicos, dado que la respuesta de estos circuitos frente a entradas binarias, _____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli 12

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------responde a un conjunto de reglas lgicas. Hoy en da la mayora de los circuitos digitales son electrnicos, utilizndose para ello los circuitos integrados (CI). Estos, responden a niveles binarios ( 1 o 0) y no a valores reales de tensin.

vi Circuito digital

vo

vi

vo

TRANSMISION BINARIA La informacin binaria se transmite de dos formas diferentes: la transmisin paralela y la transmisin serie. Transmisin paralela: En este caso todos los bits de una informacin, se transmiten al mismo tiempo por conductores elctricos, en cantidad similar a los bits a transmitir. Este tipo de transmisin se utiliza entre los distintos mdulos que componen el interior de un sistema programable (PC, microcontroladores, etc). Tambin se lo utiliza en la transmisin con sistemas externos como por ejemplo una impresora a travs del denominado puerto paralelo. Este sistema se destaca por la alta velocidad de transmisin. Como inconveniente, requiere un nmero considerable de conductores elctricos.

Circuito A

Circuito B

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

13

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------Transmisin serie: En este caso la informacin binaria se transmite en trenes de pulsos bit a bit sobre dos conductores. Ejemplos de transmisin serie la tenemos en el Mouse de una PC y la conexin de esta ltima a una lnea telefnica a travs de un moden. En este sistema la velocidad de transmisin es ms lenta pero dispone de menor cantidad de conductores elctricos.

Circuito A

Circuito B

INTRODUCCIN AL CONTROL DE LOS PROCESOS INDUSTRIALES

En la industria, podemos encontrar diversas tcnicas para controlar variables fsicas, inherentes a un determinado proceso tecnolgico. Por ejemplo se puede dar el caso de mantener lo mas constante posible, o dentro de ciertos limites variables, la temperatura de un horno, la presin de una caldera, la velocidad de rotacin de un motor o generador elctrico, etc. En todos estos casos, cuando por efecto de las perturbaciones del proceso, las variables se apartan del valor deseado, se debe actuar sobre el sistema o elementos que generan esas variables, de modo que estas ltimas tiendan al valor deseado. En todos los casos, la accin ejercida puede ser continua, aumentando o disminuyendo la variable controlada por medio de una seal continua, o puede ser discreta (todo o nada, uno / cero tren de impulsos etc.). Para el primer caso, decimos que el control es analgico. Para el segundo, el control es digital. Desde el punto de vista de los elementos tecnolgicos utilizados para desarrollar el control de procesos, podemos dividirlos en tres grados: MANUAL, AUTOMATICO e INFORMATICO. _____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli 14

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------Control manual: Variable controlada Amplificador Motor Proceso

Punto de consigna y deteccin de error

Medidor de la variable controlada

En este caso, el operador conoce los valores deseados para cada una de las variables y los que realmente tienen en todo momento. El mismo operador aplica las correcciones en el caso de que haya discrepancia. Si es el caso de una maquinaria que realiza un proceso en varios pasos, el operador es el que se encarga de hacerlos cumplir. Control automtico: La accin de control se realiza sin la intervencin del operario. Se pueden distinguir tres tipos principales: a) La regulacin, donde la accin de control la genera un aparato regulador y es una funcin del error o diferencia entre el valor deseado o de consigna y el valor real que se suministra al regulador. Este tipo de control es similar a los denominados SERVOMECANISMOS. La diferencia conceptual, reside en que en estos ltimos, el valor deseado o de referencia, varia sensiblemente con el tiempo. En la regulacin, la referencia, permanece constante. Error referencia Algoritmo de regulacin Amplificador Motor

Transductor de la variable controlada

Variable controlada Proceso

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

15

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional ------------------------------------------------------------------------------------------------------------

b) Control automtico lgico: La accin de control, normalmente discreta, se determina tomando unas decisiones lgicas sobre el estado de ciertas variables (sistemas digitales combinacionales).

N Variables De entrada

Control lgico combinacional

M Variables de salida

N M

En este tipo de control el estado de las variables discretas de la salida, dependen del estado discreto de las, variables de entrada. c) Control automtico secuencial: En este caso, adems de las decisiones lgicas, el tiempo interviene como variable importante. Podemos decir que los estados discretos de las variables de salida, no solamente dependen de los estados actuales de las variables discretas de entrada, sino tambin de sus estados anteriores (circuitos con memoria).

Control informtico La accin de control se toma sin la intervencin del hombre y sus caractersticas principales son: a)-Unifica los tres tipos de control b)- Normalmente es multivariable (varias salidas controladas por varias entradas) c)- Permite la optimizacin y la toma de decisiones. d)- Se puede aprender de la experiencia y mejorar o adaptarse a nuevas situaciones. e)- Se relaciona con otras categoras de control, por ejemplo con el de gestin. La informtica es la ciencia de los sistemas centrados en un ordenador. Se distinguen dos tipos de informtica, la de gestin y la de control. Se entiende por informtica de gestin, la utilizacin de un sistema de proceso de datos para fines administrativos, enseanza o cientficos tcnicos, en el que la informacin de entrada y salida puede ser proporcionada y utilizada por el hombre, o sea los datos pueden ser escritos por una persona y los resultados tambin ledos por una persona. Por informtica de control, entendemos la utilizacin de un sistema con un ordenador unido fsicamente con un proceso real en el que no toda la informacin de entrada / salida _____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli 16

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------puede ser proporcionada y/ o utilizada directamente por el hombre. En este caso es el proceso quien por medio de los instrumentos o interfaces adecuadas, proporciona los datos y / o utiliza los resultados.

CARACTERSTICAS PRINCIPALES DE LOS AUTOMATISMOS COMBINACIONALES Y SECUENCIALES

Segn los elementos lgicos empleados para su implementacin estos pueden ser: Mecnicos: Formados por engranajes, palancas levas etc. (son antiguas). Hidrulicos y neumticos: Funcionan con lquidos comprimidos aire comprimido respectivamente. Emplean cilindros, vlvulas hidrulicas, vlvulas neumticas, electro vlvulas, etc. Utilizan elementos elctricos y mecnicos tambin. Electrnicos: Basan su funcionamiento en los circuitos electrnicos discretos e integrados. Utilizan tambin elementos mecnicos hidrulicos, neumticos, elctricos incluyendo los modernos sistemas de lgica programada.. Segn su capacidad de trabajo, los automatismos lgicos combinacionales y secuenciales electrnicos pueden ser: Automatismos cableados: Son aquellos que solo sirven para la funcin para la que fueron diseados, teniendo que variar los elementos que lo componen de forma parcial o total para que puedan realizar otra funcin. Automatismos programables: Estn basados en el uso de los circuitos electrnicos integrados denominados microprocesadores y microcontroladores. La funcin lgica en estos sistemas vara segn el programa grabado en su memoria externa o interna. Ejemplos de estos desarrollos con interfases amigables entrada/ salida, son los controles lgicos programables denominados PLC y los mdulos lgicos universal denominado LOGO. AUTOMATISMOS COMBINACIONALES Son circuitos que dan un resultado en sus salidas en funcin de los valores de las variables de entrada. Para cada combinacin de las variables de entrada, el sistema da como respuesta, una determinada combinacin de valores digitales en las variables de salida. Los valores que toman los sistemas digitales binarios son: nivel alto de tensin / nivel bajo de tensin elctrica. A B C Circuito combinacional F1 F2

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

17

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional ------------------------------------------------------------------------------------------------------------

Estos sistemas, se pueden representar mediante una tabla denominada de la verdad, en la que se indican los valores digitales (uno o cero) de las variables de salida, para todas las combinaciones de las variables de entrada.

A 0 0 0 0 1 1 1 1

B 0 0 1 1 0 0 1 1

C 0 1 0 1 0 1 0 1

F1 1 1 0 0 1 1 0

F2 0 1 0 0 1 0 0

Existen varias formas de realizar fsicamente un circuito combinacional: a)- Mediante los contactos de los denominados rels elctricos. b)- Mediante circuitos electrnicos de niveles de tensin elctrica, denominados compuertas o puertas lgicas bsicas, como por ejemplo las puertas NAND y las puertas NOR. Estas puertas conectadas adecuadamente, cumplen con la tabla de la verdad del automatismo. c)- Mediante la conexin de puertas bsicas y circuitos combinacionales complejos como los decodificadores multiplexores etc. En todos estos casos el cumplimiento de la tabla de la verdad del automatismo planteado, depende del cableado de los elementos que lo constituyen y por ello reciben el nombre de circuitos lgicos cableados. d)- Mediante un conjunto de clulas de memoria electrnica (flip Flop) cuyo contenido se puede modificar y conseguir de esta forma el cambio de la tabla de la verdad. Estos circuitos combinacionales se les denomina PROGRAMABLES.

AUTOMATISMOS SECUENCIALES Desde el punto de vista del circuito elctrico, podemos decir que estn formados por un circuito combinacional sencillo y utilizado de forma repetida en instantes sucesivos. Las operaciones que realiza en cada instante el circuito combinacional, se denominan operaciones elementales y el proceso se ejecuta mediante una secuencia de estas.

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

18

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------Desde el punto de vista de sus entradas / salidas, estos circuitos se caracterizan por el hecho de que un mismo valor de las variables de entrada, no hace corresponder, un mismo valor de las variables de entrada. El estado presente de un circuito secuencial, depende de los valores actuales y anteriores de las variables de entrada. El tiempo o la secuencia del evento, juega un rol de importancia.

ETAPAS FUNDAMENTALES DE UN AUTOMATISMO LGICO DIGITAL Un automatismo lgico digital esta constituido por tres etapas fundamentales que son: INFORMACIN, DECISIN y EJECUCIN

INFORMACIN

DECISIN

EJECUCIN

La etapa de informacin es la encargada de dar las seales de entrada, las cuales pueden provenir de diferentes elementos tales como pulsadores o teclas, fines de carrera, interruptores, captores fotoelctricos, captores termoelctricos, captores magnticos, sensores ultrasnicos etc. La etapa de decisin, esta constituido propiamente por los circuitos lgicos digitales sean de lgica cableada o lgica programable. Esta etapa tiene la finalidad de dar las diferentes rdenes de mando a los rganos o etapas de ejecucin, en funcin de las diferentes seales recibidas de la etapa de informacin y del algoritmo de control establecido. Los rganos de ejecucin, reciben las diferentes rdenes de mando y gobiernan los diferentes elementos puestos a su custodia, tales como lmparas de sealizacin, rels, contactores tiristores, motores, etc. LGEBRA DE BOOLE El anlisis y diseo de los sistemas digitales, requiere de una herramienta matemtica, que permita determinar las propiedades de las variables lgicas, susceptibles de tomar un nmero finito de valores. Para nuestro caso, el sistema binario, solamente toman dos valores, simbolizados con el cero (0 ) lgico y el uno ( 1 ) lgico. Esta herramienta matemtica es la denominada LGEBRA DE BOOLE. sta, se define a partir de tres operaciones fundamentales: LA REUNION O SUMA LOGICA (smbolo: + )

LA INTERSECCIN O PRODUCTO LGICO (smbolo:

^)

_
LA COMPLEMENTACIN, NEGACIN o INVERSIN (smbolo:

)
19

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------Presentacin e interpretacin grafica de las operaciones lgicas (diagramas de Venn) El diagrama de Venn es una representacin grafica de las relaciones definidas en la teora de conjuntos que permiten interpretar fcilmente las operaciones del algebra de Boole Operacin reunin o suma lgica A+B= Y Dados dos sub-conjuntos A y B pertenecientes al conjunto E, la operacin reunin o suma lgica define el subconjunto C formado por todos los elementos del sub-conjunto A y todos los elementos del sub-conjunto B Veamos la representacin grafica de esta operacin:

A B

Plano E

El conjunto E esta representado por el plano E Los sub-conjuntos A y B estn representados por la superficie interior de los crculos A y B. El sub-conjunto Y, esta representado por toda la superficie rayada Operacin interseccin o producto lgico A.B=Y Dados dos sub.-conjuntos A y B pertenecientes al conjunto E, la operacin interseccion o producto lgico define al subconjunto Y formado por los elementos comunes a los sub-conjuntos A y B.

Plano E B

El subconjunto Y esta representado por la superficie rayada comn a los crculos A y B

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

20

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------Operacin complementacin o inversin _ A=B Dado un subconjunto B perteneciente al conjunto E, la operacin complementacin define el subconjunto A formado por todos los elementos de E que no pertenecen a B. E B

El subconjunto A representa la superficie rayada del plano E exterior al circulo B. Postulados y propiedades de lgebra de Boole A+A=A A .A=A Leyes de conmutacin: A+B=B+A A.B=B.A Leyes de asociacin: A+(B+C)=(A+B)+C=A+B+C A . ( B . C ) = (A . B ) . C = A . B . C Leyes de distribucin: A.(B+C)=A.B+A.C A + ( A. B ) = ( A + B ) . ( A + C ) Leyes de complementacin: _ A+A = 1 _ A . A = 0 _____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli 21

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------Ley de involucin: == ( A ) = A Leyes de Morgan: ______ _ _ (A + B ) = A . B _____ _ _ (A.B) = A + B Otras relaciones: A+1 = 1 _ _ _ Demostracin: A + 1 = A + ( A + A ) = ( A + A ) + A = A + A = 1 A.0 =0 _ _ _ Demostracin: A . 0 = A . ( A . A ) = ( A . A ) . A = A . A = 0 A.1=A _ _ Demostracin: A . 1 = A . ( A + A ) = A . A + A . A = A A+A:B = A Demostracin: A + A . B = A .( 1 + B ) = A A.(A+B) =A Demostracin: A . ( A + B ) = A . A + A . B = A + A . B = A . ( 1 + B ) = A Considerando los valores que toman las variables binarias, podemos enunciar las siguientes propiedades: _ 0 = 1 _ 1 = 0 0+0=0 1.1=1 0+1=1+0=1 1.0=0.1=0 1+1=1 0.0=0 _____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli 22

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------FUNCION LGICA Dadas n variables lgicas : X1 , X2 , X3 , ........Xn , cuyos valores pueden tomar 0 o 1 , es posible definir una funcin lgica f ( X1 , X2 , X3 , .....Xn ) que valdr 0 o 1 , de acuerdo con los valores que tomen las variables y con la operacin que realicen ,al definir la funcin. Una caracterstica del lgebra de la conmutacin, es la existencia de un nmero finito de funciones de un nmero determinado de variables. 2x(n de variables) N de funciones = 2 Tendremos, por consiguiente 4 funciones de una variable, 16 funciones de 2 variables ,64 funciones de 4 variables , etc.

TABLAS DE LA VERDAD Dado que una variable lgica solo puede tomar el valor 0 o 1 , y la funcin lgica ,los mismos valores, es posible entonces representar a esta ultima por medio de una tabla denominada de verdad. Para ello consideramos todas las combinaciones posibles de las variables lgicas y el valor de la funcin para cada caso; entonces podemos escribir una tabla que contenga toda la informacin definida en la funcin. Ejemplo: Tomaremos la funcin suma lgica de dos variables, denominada tambin funcin OR . Y =f(A , B) = A + B Tabla de verdad: A B Y 0 0 0 0 1 1 1 1 0 1 1 1

COMPUERTAS PUERTAS LOGICAS Las compuertas lgicas ,son circuitos electrnicos que operan con niveles de tensin materializando las funciones lgicas definidas por el lgebra de Boole. Estos circuitos, estn construidos con diferentes tecnologas, donde intervienen resistencias electricas, diodos y transistores. Tambin es posible materializar las funciones lgicas, con lgica de contactos. _____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli 23

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------COMPUERTA LOGICA OR Define a la operacin suma lgica por ejemplo para el caso anteriormente descrito de la suma lgica de 2 variables Y = A + B Nos dice que la variable de salida tomara el valor 1 si una o la otra variable de entrada ,tienen el estado alto de tensin. La tabla de la verdad, es laque se muestra mas arriba.

a) Smbolo tradicional (Norma Mill USA ) para dos entradas

b) I E E/ ANSI

c) Compuerta OR con contactos

La lmpara se enciende si A o B estn cerrados. 1: contacto cerrado. 0: abierto Ejemplo de aplicacin de una compuerta OR Transductor de temperatura vt A OR Vref1 Transductor de presion vp Comparador Vref2 _____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli 24 B B=0vp< Vref2 B=1vp Vref2 Alarma A=0 vt Vref1 A=1 vt Vref1

Comparador

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------Problema: Determinar la variacin lgica de la salida para el circuito de la figura, en funcin de la variacin en el tiempo de los estados lgicos de las variables de entrada observados en la grafica. A Entrada A B Entrada B Salida Y=A+B

PUERTA Y(AND)
Esta compuerta define el producto lgico del lgebra de Boole. La salida de una compuerta AND o Y toma el estado alto cuando una y las otras entradas estn en el estado alto. Para dos variables de entrada tenemos: Y = A . B Smbolos: a) Tradicional b) IEE/ANSI &

Tabla de la verdad A B A.B 0 0 0 0 1 0 1 0 0 1 1 1 Compuerta AND con tecnologa de contacto

La lampara se enciende si A y B estan cerrados _____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli 25

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------COMPUERTA NOT (INVERSORA) La compuerta NOT define la complementacin o inversin del lgebra de Boole. La salida de una compuerta NOT estar en el estado alto cuando la entrada este en el estado bajo y viceversa.

Smbolos: a) Tradicional b) IEE/ANSI

Tabla de la verdad A Y 0 1 1 0 c) Con contactos:

__ A y A se mueven simultneamente. Cuando A esta abierto, A esta cerrado y viceversa. Resumen de las operaciones bsicas del lgebra de boole que realizan las compuertas bsicas OR AND y NOT:

OR A 0 0 1 1 B 0 1 0 1 Y . A 0 0 1 0 1 1 1 1

AND B 0 1 0 1 Y 0 0 0 1

NO A Y 0 1 1 0

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

26

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------IMPLEMENTACION DE FUNCIONES LOGICAS Las funciones lgicas que responden al lgebra de boole, pueden ser materializadas, utilizando las compuertas bsicas OR , AND y NOT. Ejemplo: Y = A. B + C

Ejemplo:

_ _______ Y = A. B. C (A+ D)

Problema: Determinar la funcin lgica que exprese el funcionamiento lgico del circuito de la siguiente figura

Vamos a ver a continuacin otras compuertas lgicas que se utilizan extensamente en los circuitos digitales .Estas compuertas se caracterizan por la combinacin de las operaciones bsicas AND , OR , y NOT

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

27

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------COMPUERTA NOR Es una combinacin de una compuerta OR seguida de una NOT a) Smbolo tradicional b) Smbolo IEEE/ANSI

Tabla de la verdad A 0 0 1 1 B A.B A.B 0 0 1 1 1 0 0 1 0 1 1 0

COMPUERTA NAND Es una combinacin de una compuerta AND seguida de una NOT. a) Smbolo tradicional b) Smbolo IEEE/ANSI

&

Tabla de la verdad A 0 0 1 1 B A:B A:B 0 0 1 1 0 1 0 0 1 1 1 0

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

28

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------Ejemplo: Determinar el estado de la salida en funcin del tiempo, para una compuerta NOR y NAND con dos variables de entrada que varan en el tiempo segn las graficas:

Y1

Y2

UNIVERSALIDAD DE LAS COMPUERTAS NOR Y NAND

Las funciones lgicas en Gral. se expresan con las combinaciones de las operaciones bsicas OR , AND y NOT, aplicadas a las variables lgicas. Sin embargo es posible prescindir de la operacin OR o de la operacin AND y expresar una funcin lgica en trminos de: a) La inversin mas la suma lgica (NOT y OR ) b) La inversin mas el producto lgico (NOT y AND ) Esto es posible, aprovechando las transformaciones producidas con la aplicacin de los teoremas de Morgan que, recordando, nos dice para el caso de dos variables: ___ _ _ a) A+B = A . B ___ _ _ b) A.B = A + B De esta manera, es posible reemplazar las sumas lgicas por productos lgicos o los productos lgicos por sumas lgicas. _____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli 29

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional ------------------------------------------------------------------------------------------------------------

Ejemplo: Realizar la suma lgica de dos variables utilizando las operaciones de producto lgico y la inversin Y = A+B Y = (A+B) Realizo una doble inversin; la funcin no cambia Y = (A. B) Aplico la ley de Morgan

Ejemplo: Realizar el producto lgico de dos variables utilizando las operaciones de suma lgica e inversin Y = A.B Y = (A.B) Realizo una doble inversin; la funcin no cambia

Y = (A+ B) Aplico la ley de Morgan Dado que las compuertas NOR y NAND involucran en sus operacin los operadores OR y NOT y AND respectivamente, es posible realizar las operaciones basicas OR , AND y NOT , solamente con un solo tipo de compuerta , sea NAND o NOR. Operaciones bsicas realizadas con la compuerta NOR

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

30

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------Operaciones bsicas realizadas con la compuerta NAND

Ejemplo: Implementar la funcin lgica Y = (A+B).(A+D) con compuertas NOR de dos entradas Y = (A+B). (C+D) = (A+B) . (C+D) Realizo doble negacin, la funcin no cambia. Y= (A+B) + (C+D)

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

31

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------Ejemplo: Implementar la misma funcin lgica del ejemplo anterior pero con compuertas lgicas NAND. Y = (A+B). (C+D) = (A+B).(C+D) Realizo doble negacin. La funcin no cambia. Y = (A+B) . (C+D) = A . B . C . D

REPRESENTACION ALTERNATIVA DE LAS COMPUERTAS LOGICAS BASICAS

Y=A.B=(A.B)=[ (A)+(B)]

Y=A+B=(A+B)=[ (A).(B)]

Y=(A.B)=A+B

Y=(A+B)=A.B

Y=A

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

32

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------Esta representacin se logra, aplicando la ley de Morgan a las compuertas bsicas. Se puede utilizar para cualquier nmero de entradas. En la representacin estndar, las entradas no tienen crculos que representen negacin, como lo es en esta nueva representacin alternativa. La representacin estndar y la alternativa representan la misma funcin lgica. La ventaja de la representacin alternativa consiste en la facilidad para interpretar la lgica del circuito prctico. COMPUERTA OR-EXCLUSIVO _ _ Esta compuerta responde a la siguiente funcin lgica: Y = A . B + A . B

Esta compuerta produce el estado alto cuando las entradas estn en niveles opuestos Smbolo IEEE/ANSI Tabla de verdad A 0 0 1 1 B 0 1 0 1 Y 0 1 1 0

COMPUERTA NOR-EXCLUSIVO Acta en forma opuesta a la anterior o sea cuando las entradas son niveles opuestos, la Salida es baja: _ _ Y = (A. B + A. B)

OPTIMIZACION DE LAS FUNCIONES LOGICAS En los desarrollos de las funciones lgicas con compuertas lgicas NOR, NAND o tambin puede ser OR-EXCLUSIVA, se puede observar, que una de las alternativas, requiere de menor cantidad de compuertas. En la prctica, la solucin ms efectiva, es la de utilizar el mnimo de compuertas por dos razones importantes: _____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli 33

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------a) Econmica, al requerir menor cantidad de circuitos integrados y mas sencilla la placa que los contiene. b)-Menor tiempo de propagacin de las seales elctricas lgicas sobre el circuito. Por ejemplo puede ocurrir que la propagacin de dos seales de breve duracin propagndose por dos ramas que tienen diferentes compuertas, al tener distintos tiempos de propagacin, puede ocurrir que en la compuerta final, donde se unen con determinada lgica, por un breve periodo de tiempo, no se cumple la funcin lgica implementada. REPRESENTACIN DE LAS COMPUERTAS LOGICAS Tenemos diferentes normas para su representacin. Estas son las ms importantes: ______________________________________________________________________ Funcin NEMA DIN MILL IEC IEEE/ANSI | 40700 (USA) Internacional 91 1984 Y AND O OR NOT

NAND

NOR

XOR

NOXOR ______________________________________________________________________

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

34

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------DESARROLLO DE LAS FUNCIONES LOGICAS La escritura explcita o algebraica de una funcin lgica, resulta de mucha utilidad para realizar la sntesis de un sistema, pero como en Gral. los datos del problema se obtienen en forma de tabla de verdad, se hace necesario transformar la informacin contenida en la tabla de verdad, por una expresin algebraica. Consideremos el siguiente ejemplo: Tenemos tres sensores de radiacin ultravioleta, que detectan la presencia de llama, en el interior de una caldera. Asociemos a cada sensor, una variable lgica Xi que valdr cero (0) si detecta llama y valdr uno (1) si no la detecta. Definamos tambin una variable de salida Y que actuar sobre la electro vlvula de inyeccin de combustible a los quemadores de la caldera, cerrndola, si por lo menos dos de los sensores detectan falta de llama (Y = 1) Para ste caso, Y es una funcin lgica de tres variables X1, X2, X3 y que valdr cero o uno de acuerdo a la siguiente tabla de la verdad: X1 0 0 0 0 1 1 1 1 X2 0 0 1 1 0 0 1 1 X3 0 1 0 1 0 1 0 1 Y 0 0 0 1 0 1 1 1

1) Desarrollo : Suma de productos La funcin Y valdr uno (1) cuando se verifique: (X1=0, X2=1, X3 = 1) (X1 =1, X2 =0, X3 =1) (X1 =1, X2 =1, X3 =0) (X1 =1, X2 =1, X3 =1). Luego la funcin lgica la podemos escribir de la siguiente forma: __ __ __ Y = X1. X2. X3 + X1.X2. X3 + X1. X2 . X3 +X1. X2 .X3 2 desarrollo: productos de suma: la funcin Y valdr cero (0) cuando se verifique: (X1 =0, X2 =0, X3 =0) (X1 =0, X2 =0, X3 =1) (X1 =0 X2 =1, X3 =0) (X1 =1, X2 =0, X3 =0) _____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli 35

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------Luego la funcin lgica la podemos expresar de la siguiente forma: __ __ __ Y = (X1+X2+X3) . (X1+X2+X3) . (X1+X2+X3) . (X1+X2+X3) El desarrollo en suma de productos de una funcin, se realiza a partir de los valores uno(1) de la funcin, complementando en cada producto las variables que toman el valor cero(0) y escribiendo sin complementar las variables que toman el valor uno(1). El desarrollo en productos de suma de una funcin, se realiza a partir de los valores cero(0) de la funcin, complementando en cada suma las variables que toman el valor uno(1) y escribiendo sin complementar las variables que toman el valor cero(0). Simplificacin de funciones: Las funciones lgicas desarrolladas por ambos procedimientos, tienen trminos redundantes, por lo cual resulta conveniente simplificarlas. Tenemos dos mtodos para su simplificacin: Aplicando las propiedades del lgebra de Boole o utilizando las tablas de Karnaught- Veitch. Aplicaremos primero la simplificacin por lgebra de boole, considerando las siguientes propiedades: A = A+A+A _ _ A.B+A.B = A.(B+B) = A Con estas propiedades, simplifiquemos la funcin desarrollada como suma de productos __ __ __ Y = X1. X2. X3 + X1.X2. X3 + X1. X2 . X3 +X1. X2 .X3 __ X1.X2.X3+X1.X2.X3 = X2.X3 __ X1.X2.X3+X1.X2.X3 = X1.X3 __ X1.X2.X3+X1.X2.X3 = X1.X2. La funcin simplificada nos queda: Y = X2.X3+X1.X3+X1.X2 Para simplificar la funcin desarrollada como productos de sumas (valores que hacen cero la funcin), debemos aplicar las siguientes propiedades del algebra Boole: A= A+A+A A+ (B.C) = (A+B). (A+C)

Con estas propiedades, simplifiquemos la funcin desarrollada como productos de sumas

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

36

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------__ __ __ Y = (X1+X2+X3) . (X1+X2+X3) . (X1+X2+X3) . (X1+X2+X3) __ (X1+X2+X3) . (X1+X2+X3) = (X1+X2) __ (X1+X2+X3) . (X1+X2+X3) = (X1+X3) __ (X1+X2+X3) . (X1+X2+X3) = (X2+X3) La funcin simplificada ahora nos queda: Y = (X1+X2) . (X1+X3) . (X2+X3) SIMPLIFICACIN POR TABLAS DE KARNAUGHT-VEITCH: \ X1 X2 X3 \ 00 0 A 1 E

01 B F

11 C G

10 D H

En sta tabla, cada casilla es adyacente a otra que difiere en su codificacin de un solo digito binario. Por ejemplo, la casilla B (codificada X1=0, X2=1,X3=0) es adyacente a las casillas A,C,F. La casilla D, es adyacente a las casillas C,A, y H, etc. Como en una tabla de verdad, se escribe en cada casilla el valor de la funcin, para la combinacin de valores de las variables de dicha casilla. __ __ __ La funcin: X1.X2.X3+X1.X2.X3+X1.X2.X3+X1.X2.X3 La representamos en la tabla como: \ X1 X2 X3 \ 00 0 0 1 0

01 0 1

11 1 1

10 0 1

La agrupacin de dos casillas como en la observada en la figura, equivale a realizar la operacin: __ X1.X2.X3.+X1.X2.X3. = X1.X2 O sea que define un componente con las caractersticas de un producto de 2 variables, donde ha sido eliminada la variable que toma simultneamente los valores 0 y 1 en la representacin grfica del componente.(en este caso X3). En el caso del ejemplo considerado, podemos definir tres agrupaciones:

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

37

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------\ X1 X2 X3 \ 00 01 11 10 0 0 0 1 0 1 0 1 1 1 En cada agrupacin, se eliminan las variables X3, X1 y X2 Ejemplos de funciones representadas por la tabla de Karnaught: a) \ X1 X2 X3 \ 00 01 11 10 0 1 1 0 0 1 1 1 0 0 __ Y = X1 b) \ X1 X2 X3 \ 00 0 1 1 1

01 0 0

11 0 1

10 1 1

__ Y = X2+X1.X3 Reglas para simplificacin por tabla de Karnaught: 1)- Cada lazo debe contener el mayor nmeros de 1 posibles, debiendo contar con 8,4,2 , en ltimo caso un simple 1 y entonces no habr simplificacin de dichos trminos. 2)- Los lazos pueden quedar superpuestos y no importa que haya cuadriculas de valor 1 que correspondan a la vez a dos lazos diferentes. 3)- No se pueden formar lazos entre parejas de 1 situados en diagonal. 4)- Debe tratarse de conseguir el mnimo nmero de lazos y que tengan la mayor cantidad de 1. 5)- La columna ms a la derecha se considera adyacente con la que esta ms a la izquierda y la primera fila del diagrama se considera adyacente a la ltima. Resumen para desarrollar el circuito lgico de un automatismo combinacional. El proceso de diseo de un circuito digital que debe cumplir con determinadas condiciones de funcionamiento exige: 1)- Obtener la tabla de la verdad que representa la funcin lgica a implementar, a partir de las condiciones fsicas de funcionamiento del automatismo. 2)- Determinar la funcin lgica que se realizar, partiendo de la tabla de la verdad expresndola como suma de productos o productos de suma de las variables intervinientes.

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

38

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------3)- Simplificar la funcin lgica obtenida de la tabla de la verdad, aplicando las propiedades del lgebra de Boole o las tablas de Karnaught-Veith (hasta 5 variables). Tambin se pueden utilizar las tablas de Quine Mc Cluskey (para mas de 5 variables) 4)- Construir el automatismo lgico con: contactos (reles) , semiconductores discretos, circuitos integrados digitales en escala MSI, utilizando dispositivos integrados de lgica programable(PLD), utilizando mdulos lgicos programables ( LOGO, PLC etc.) o utilizando los Microcontroladores. Ejemplo: Se dispone de cuatro (4) motores conectados a una misma barra de alimentacin. Por razones de limitacin de carga elctrica, se deber accionar sobre un enclavamiento u alarma, cuando por la conexin de los motores se supere los 18 Kva de potencia. Barra de alimentacin elctrica

A = 4 KVA, B = 6 KVA, C = 8 KVA, D = 12 KVA Tabla de la verdad 4 A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 6 B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 8 C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 12 D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ---Y 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 Y = 1 si Potencia conectada > 18 KVA

Y = 0 si potencia conectada < 18 KVA

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

39

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional ------------------------------------------------------------------------------------------------------------

Tabla de Karnaught_Veitch

\ AB CD \ 00 00 0 01 11 10 0 1 0

01 0 1 1 0

11 0 1 1 1

10 0 0 1 0

La expresin simplificada nos queda: Y = B.D + C.D + A.B.C

Implementado ste circuito con compuertas AND y OR nos queda:

Para implementarlo con compuertas NAND, debemos transformar la funcin lgica aplicando las leyes de Morgan: _____________ ============ ___ ___ ____ Y = B.D+C.D+A.B.C = B.D+C.D+A.B.C = B.D .C.D. A.B.C

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

40

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional ------------------------------------------------------------------------------------------------------------

MATERIALIZACIN DE LAS FUNCIONES LGICAS COMBINACIONALES A) Utilizando lgica de contactos:

En la actualidad, ste sistema solamente se utiliza para funciones lgicas simples, cuando los niveles de tensin y o corriente son relativamente elevados, en relacin a los que manejan los otros mtodos. Las desventajas de esta tecnologa son: elevado consumo de energa elctrica, costos en mantenimiento, baja confiabilidad, desgaste prematuro de los contactos y considerable volumen ocupado. No obstante stos inconvenientes, todava los automatismos con contactos todava se siguen utilizando especialmente en etapas de manejo de potencias elctricas considerables.

B)-Lgica con semiconductores

B-1) Utilizando transistores diodos y resistencias discretas: Esta tecnologa signific un avance importante respecto a la de contactos, mejorando significativamente las desventajas apuntadas para esta ltima.

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

41

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional ------------------------------------------------------------------------------------------------------------

Esta opcin, se justifica actualmente para funciones lgicas sencillas, que deban manejar corrientes del orden de los cientos de mili amperes o amperes, en etapas de salida con excitacin a reles o como interfases entrada / salida de circuitos ms complejos realizadas con tcnicas de integracin. Para circuitos lgicos con apreciable cantidad de compuertas, el consumo es excesivo, el volumen ocupado es relativamente grande y tiene baja confiabilidad debido al elevado nmero de soldaduras, al circuito impreso que lo soporta. B-2)-Utilizacin de circuitos lgicos integrados en escala media de integracin (MSI): Esta tecnologa represent un avance muy importante respecto a las anteriores, dado que permiti implementar funciones lgicas ms complejas con reducido volumen y consumo. Tambin mejor la confiabilidad, al reducir el nmero de conexiones con soldadura. Existen en el mercado, una gran cantidad de tipos de compuertas lgicas integradas en escala MSI, con diferentes tecnologas y prestaciones. Estn agrupadas en denominadas familias lgicas (TTL CMOS, ECL etc.).

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

42

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------El dibujo representa la pastilla semiconductora integrada DM 7400, lgica TTL la CD 4011 en lgica CMOS y presenta cuatro (4) compuertas NAND de dos (2) entradas. Los diferentes mtodos analizados hasta ahora, se le denomina de lgica cableada. Una vez que se ha realizado el circuito, ste no se puede alterar, sino reemplazarlo por otro. B-3) Utilizacin de circuitos lgicos integrados en escala media de integracin (MSI): Es posible sintetizar funciones lgicas combinacionales con circuitos integrados de escala media (MSI combinacionales), como los subsistemas Multiplexores, que analizaremos mas adelante. B-4) Utilizacin de dispositivos de lgica programable (PLD): Este mtodo, utiliza circuitos integrados en escala de alta integracin (HSI) . En su interior, la pastilla contiene decenas o centenares de compuertas lgicas bsicas (NOT, AND y OR), conectadas adecuadamente, de tal forma que por medio de entradas especiales al chips, denominadas entradas de programacin, se puede implementar la funcin lgica deseada.

A travs de las entradas de programacin (conectada a una PC, con un programa a los efectos indicados),es posible seleccionar las compuertas ms adecuadas (quemando fusibles), para implementar la la funcin deseada. Por ejemplo si se queman los fusibles conectados a las salidas A.B y A.B, se podr implementar la funcin Y = A.B+ A.B(funcin XOR). B-5) Utilizacin de microcontroladores: Los microcontroladores, son circuitos integrados programables en una sola pastilla o chips. Se utilizan para controlar una tarea especfica. Un microcontrolador es un computador dedicado: Posee una unidad central de proceso llamada UCP, memoria para instrucciones y datos, registros especiales, temporizadores, dispositivos de entrada y salida analgicos y digitales etc. La tarea especifica que puede desarrollar un microcontrolador se hace a travs de un programa que se guarda en la memoria del dispositivo.

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

43

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------Con muy pocos elementos externos al microcontrolador para adaptar las entradas /salidas (fotoisladores, transistores etc.) se puede disponer de un automatismo de pequea y mediana complejidad, de bajo costo, reducido volumen y alta confiabilidad. A modo de ejemplo presentaremos un posible programa de ejecucin para resolver el ltimo problema propuesto sobre el control de cuatro motores, cuya funcin lgica modificada, en la denominacin de las variables, resulto: Y = A2.A3 + A1.A3 + A0.A1.A2
; ; MOTORES1.ASM ===============

;Programa que permite controlar la cantidad de motores que se conectan ;a una barra de alimentacin de energa, que tiene limitaciones respecto ;a la maxima potencia elctrica entregada.

LIST RADIX

P=16C84 HEX

ORG goto ORG

0 INICIO 5

INICIO

clrf clrf bsf movlw movwf clrf bcf

0x05 0x06 0x03,5 0xff 0x05 0x06 0x03,5

;llevo a cero r05 (entradas) ;llevo a cero r06 (salidas) ;selecciono el banco uno ;ff>w ;w>trisa A son entradas ;B son salidas ;selecciono el banco cero

BUCLE

movf movwf movwf rrf rrf movwf rrf movwf andwf movwf movf andwf

0x05,0 0x0C 0x0D 0x0D,1 0x0D,0 0x0E 0x0E,0 0x0F 0x0E,0 0x10 0x0F,0 0x0D,0

;entradas A>w ;w>0C direccin memoria datos.Entrada "Ao" ;w>0D " " " ;desplazo A1 a la columna Ao y lo deposito ;en 0D.Entrada "A1" ;desplazo A2 a la columnna A0 resultado>w ;w>0E direcc.mem datos Entrada A2 ;desplazo A3 a la columna Ao resultado>w ;w>0F direcc.mem datos Entrada A3 ;A2.A3>w ;w>10 direcc.mem datos producto "A2.A3" ;0F>w ;A1.A3>w

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

44

UTN REG. SANTA FE ELECTRONICA ING. ELECTRICA 5-1- Sistemas lgicos digitales. Lgica combinacional -----------------------------------------------------------------------------------------------------------movwf movf andwf andwf iorwf iorwf andlw movwf goto end 0x11 0x0C,0 0x0D,0 0x0E,0 0x11,0 0x10,0 0x01 0x06 BUCLE ;w>11 direcc.mem datos producto "A1.A3" ;0C>w ;Ao.A1>w ;Ao.A1.A2>w ;Ao.A1.A2+A1.A3>w ;Ao.A1.A2+A1.A3+A2.A3>w ;10 producto logico con w resultado wo ;w>06 puerta B salida ;fin del programa

El programa, redactado en lenguaje Ensamblador (u otro lenguaje), es ensamblado o compilado. stos, son programas de PC, que se encargan de convertir en unos y ceros para grabarlos en el interior del chip, en la memoria de instrucciones. B-5) Utilizando mdulos lgicos programables: Ejemplo de ste tipo de mdulo es el LOGO de la firma Siemens. Son dispositivos que resuelven automatismos de mando y maniobras lgicas con temporizaciones, con aplicacin directa tanto de las entradas como de las salidas. Son mdulos compactos que admiten tensiones de entrada de +24 volt cc. 220 volt ca., segn el modelo. Las salidas estn realizadas a travs de contactos (que abren o cierran, segn la lgica implementada) o con salida a transistores. La programacin de estos dispositivos, puede realizarse directamente sobre el mismo equipo (a travs de botonera y pantalla de cristal lquido) o por medio de una PC conectada al equipo, utilizando la interfase RS 232C. B-6) Utilizacin de los denominados PLC(controles lgicos programables): Son dispositivos clasificados dentro de los autmatas programables computadoras industriales. Se utilizan para vigilar entradas, tomar decisiones en base a su programa o lgica y para controlar salidas para automatizar un proceso o mquina. Un PLC consta de los siguientes componentes primordiales: a-) La unidad central de proceso (UCP), que constituye el cerebro del sistema y toma decisiones en base a la aplicacin programada. b-) Las entradas y salidas (E/S) que son los puntos de control del sistema. Las entradas supervisan dispositivos de campo tales como interruptores y sensores, mientras que las salidas controlan otras unidades, como ser motores electro vlvulas etc. c-) La interfase de programacin que es la conexin para la unidad de programacin, siendo este un ordenador o PC o una programadora porttil. Estos dispositivos admiten por lo general dos lenguajes de programacin: Lista de instrucciones y esquema de contactos. La lista de instrucciones (AWL) comprende operaciones binarias de lgica de Boole. El esquema de contactos (KOP) es un lenguaje que utiliza smbolos electromecnicos.

_____________________________________________________________________ Apunte de ctedra Autor: Ing. Domingo C. Guarnaschelli

45

You might also like