You are on page 1of 25

Universidad Nacional del Callao

Laboratorio De Sistemas Digitales

Escuela Profesional de Ingeniera Electrnica

Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

UNIVERSIDAD NACIONAL DEL CALLAO


Facultad Ingeniera Elctrica y Electrnica
Escuela Profesional de Ingeniera Electrnica

CURSO: LABORATORIO N 01 DE SISTEMAS DIGITALES

TEMA: BIESTABLES

PROFESOR: UTRILLA SALAZAR DARIO

ALUMNO

:
-CARRANZA VERGARAY JACINTO

082058-I

-FLORES PACHECO ALONSO MARIO

082646-H

- YUCRA QUICHUA LUIS ALEXANDER

062575-H

SEMESTRE

2011 - verano

BELLAVISTA CALLAO
2011

Laboratorio De Sistemas Digitales

Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

LABORATORIO N01 DE SISTEMAS DIGITALES

BIESTABLES
Ing. UTRILLA SALAZAR DARIO

2011

Laboratorio De Sistemas Digitales

Prof. Ing. Utrilla Salazar Daro

universidad nacional del callao

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

INDICE
I).- Titulo: BIESTABLES............................................................................Pg.5

II).- Objetivos:.Pg.5

III).- Experimento:.. Pg.5

IV).- IMPLEMENTACION.....Pg.12

V).-CONCLUSIONES:...Pg.23

VI).-BIBLIOGRAFA..................Pg.24

VII).- ENLACES .. ...Pg.24

Laboratorio De Sistemas Digitales

Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

LABORATORIO N001 DE SISTEMAS DIGITALES


I).- Titulo: BIESTABLES
II).- Objetivos: consta de prcticas que tienen por objetivo lograr que los alumnos
lleguen a dominar los temas sobre BIESTABLES Estos incluyen los siguientes
objetivos:
2.1).- OBJETIVOS GENERALES

Implementar los circuitos biestables asncronos (Latch) y sincronos (Flip


Flop), utilizando puertas lgicas.
La visualizacin del funcionamiento de cada una de los biestables (Latchs y
Flip Flops.) utilizando leds en las salidas.
Implementar circuitos bsicos con biestables.
Adquirir destreza para el montaje y cableado de circuitos digitales en el
prothoboard.
Que el estudiante aprenda utilizar los principios bsicos para el anlisis de
circuitos digitales secuenciales mediante simuladores y que tenga la
capacidad de realizar la deteccin de fallos, corregirlos y comprobar su buen
funcionamiento.
2.2).- OBJETIVOS ESPECIFICOS
Para cada funcin lgica implementar
con circuitos integrados de
tecnologa TTL (Serie 74). Buscar las referencias correspondientes en los
manuales adecuados.
Se implementar como entradas lgicas DIPSWITCHs y como salidas
lgicas LEDs. (Ver en el marco terico del presente documento sus circuitos
elctricos).
Implementar cada circuito en prothoboard, analizar su funcionamiento y
luego construya las tablas de verdad de los circuitos.

III).- Experimento:
A).- MARCO TEORICO:
BIESTABLES
Laboratorio De Sistemas Digitales

Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

A.1).- INTRODUCCIN.- En el presente laboratorio, se desarrollara el anlisis


funcional de los biestables asncronos (Latchs) y Sncronos (Flip Flops); los cuales
representan los dispositivos fundamentales para el diseo de registros, Contadores,
Maquinas de estados, memorias y todo circuito secuencial.

A.2).- BREVE HISTORIA .- La primera electrnica flip-flop fue inventado en 1918 por
William Eccles y Jordania FW . Se le llam inicialmente el Jordn disparo del
circuito-Eccles y constaba de dos elementos activos. El-flop tipo solapa analizan a
continuacin (RS, D, T, JK) se discuti por primera vez en 1954 UCLA curso de
diseo por ordenador por Montgomery Phister, y en su libro Diseo lgico de las
computadoras digitales. El autor Fue en el tiempo de trabajo en Hughes Aircraft con
el Dr. Eldred Nelson, que haba acuado el JK plazo para un flip-flop que cambiar
estados cuando ambas entradas estaban. Los otros nombres fueron acuados por
Phister. Difieren ligeramente de algunas de las definiciones que figuran a
continuacin El origen del nombre para el flip-flop se detalla por PL Lindley, un JPL
ingeniero, en una carta a EDN , una revista de diseo de la electrnica. La carta es
del 13 de junio de 1968, y fue publicado en la edicin de agosto de la revista. En la
carta, el Sr. Lindley explica que oy la historia de los flip-flop del Dr. Eldred Nelson,
quien es el responsable de acuar el trmino, mientras trabajaba en Hughes
Aircraft .Flip-flop en el uso de Hughes en el momento eran del tipo que lleg a ser
conocida como JK. En el diseo de un sistema lgico, el Dr. Nelson asignan letras a
las entradas del flip-flop de la siguiente manera: # 1: A y B, n 2: C & D, # 3: E y F, N
4: G y H, N 5: J & K.
A.3) DEFINICION .- Los flip-flops pueden ser simples (transparente) o velocidad de
reloj. Simple flip-flop puede construirse en torno a un par de acoplamiento cruzado
invierte elementos: los tubos de vaco , transistores bipolares , transistores de efecto
de campo , inversores , y la inversin de puertas lgicas se han utilizado en los
circuitos de prcticas, tal vez aumentado por algn mecanismo que bloquea (a
permitir que / deshabilitar la entrada). La ms avanzada registrado (o no
transparentes) los dispositivos estn especialmente diseados para sncrona (tiempo
discreto), los dispositivos tales por lo tanto ignoran sus entradas, excepto en la
transicin de una seal de reloj dedicado (conocido como reloj, pulsante o efecto
estroboscpico). Esto hace que el flip-flop para cambiar ya sea o mantener su seal
de salida basada en los valores de las seales de entrada en la transicin. Algunos
fracasos de cambio de la salida-flip en el flanco de subida del reloj, otros en el flanco
de bajada.
A.4).- ENTRADAS Y SALIDAS LOGICAS.- Las entradas a la ALU son los datos en
los que se harn las operaciones (llamados operandos) y un cdigo desde la unidad
de control indicando qu operacin realizar. Su salida es el resultado del cmputo de
la operacin.En muchos diseos la ALU tambin toma o genera como entradas o
salidas un conjunto de cdigos de condicin desde o hacia un registro de estado.
Estos cdigos son usados para indicar casos como acarreo entrante o saliente,
overflow, divisin por cero, etc.
El Dip switch

Laboratorio De Sistemas Digitales

Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

Figura N
Una red PULL UP o PULL DOWN como se muestra en la siguiente figura.

Figura N 2
Las salidas de estos circuitos son las (IN) entradas de las compuertas (los pines
del integrado) y como tambin se puede observar en la figura anterior la salida del
integrado (OUT) es la entrada del circuito LED.
A.5).- FLIP FLOP - Con un inversor
La ventaja aqu es la cantidad de compuertas utilizadas solo una compuerta NOT.

El Flip-Flop presentado anteriormente conocido como Flip-Flop RS suele presentar


un estado indeterminado cuando sus dos entradas R y S se encuentran en estado
alto as que veamos como se puede solucionar este inconveniente.
A.6).- FLIP FLOP D:
En este circuito no existe la posibilidad de que las dos entradas estn a nivel alto, ya
que posee un inversor entre una y otra, de tal modo que R = ~S, observa el siguiente
grfico, aqu se supone la entrada Dato a nivel 0...

Laboratorio De Sistemas Digitales

Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

Veamos que ocurre cuando la entrada Dato, pasa a 1 y CK cambia de estado


pasando tambin a 1, segn como se van transmitiendo los datos por las
compuertas resulta Q=1 y ~Q=0.

Para que el Flip-Flop retorne a su estado inicial, la entrada Dato D deber pasar a 0
y slo se transferir a la salida si Ck es 1. Nuevamente se repite el caso que para
leer el datos debe ser Ck=1.
En forma general se representa el Flip-Flop D con el siguiente smbolo

A.7).- FLIP FLOP Master-Slave:


Se trata de un arreglo de dos FF independientes. El primero acta como Master y el
otro como Slave. Con la diferencia de que en este caso las entradas Set y Reset son
realimentadas por las salidas Q y ~Q respectivamente, quedando libre nicamente la
entrada CK.

Considerando CK=0, ser la salida Q=0 y ~Q=1, al momento del cambio de nivel de
CK (CK=1), slo cambiaran las salidas del primer Flip-Flop (Mster) sin afectar las
8
Laboratorio De Sistemas Digitales
Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

salidas Q y ~Q.
Ahora bien, cuando CK regrese a su estado inicial (CK=0) el Slave conmutar las
salidas Q y ~Q quedando Q=1 y ~Q=0. Al cambiar de estado CK (CK=1) las salidas
no sern afectadas. Esto se puede resumir en una pequea tabla de verdad, como
sta...

Bueno, le agregu una fila ms, por si preguntas ;-)


A este tipo de Flip-Flop, se le a dado la posibilidad de preestablecer el estado de sus
salidas, adicionndole dos entradas ms, Preset (Pr) y Clear (Clr), que vendran a
ser algo as como Set y Reset respectivamente, pero claro, hay que advertir que se
debe evitar la situacin Pr=Clr=0
Tambin tiene una forma de representacin simblica...

B).- Diseo.
Tenemos los siguientes diseos de los circuitos armados en el laboratorio

Figura (3).- Diseo del primer

Laboratorio De Sistemas Digitales

circuito

Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

Figura (4).- Diseo del segundo circuito

Figura (5).- Diseo del tercer

Laboratorio De Sistemas Digitales

10

circuito

Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

Figura (6).- Diseo del cuarto

circuito

C.- Equipos y materiales.- tenemos los siguientes :

Figura (7).- Circuitos Integrados TTL :


7400, 7402, 7474, 7476

Figura (10).- leds

Figura (9).- Manuales tcnicos.

Figura (11).- Un dipswich

Laboratorio De Sistemas Digitales

Figura (8).- Resistencias de 100


ohmios

Figura (12).- Cables delgados

11

Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

Figura (13).- Un multmetro

Figura (14).- 2 protoboards

IV).- IMPLEMENTACION
1. Implementar el circuito mostrado en la Figura 1. Analice su funcionamiento y
desarrolle su Tabla de Verdad.

CIRCUITO N1
R

U1:A
1

2
7400

U1:B
4

7400

Figura (15)
R

Estado no permitido

Laboratorio De Sistemas Digitales

12

Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

Estado anterior

Funcionamiento:
El flip-flop tiene dos entradas R (reset) y S (set), se encuentran a la izquierda del
smbolo. Este flip-flop tiene activas las entradas en el nivel BAJO, lo cual se indica
por los circuitos de las entradas R y S. Los flip-flop tienen dos salidas
complementarias, que se denominan Q y Q. Luego encontramos la condicin "set"
del flip-flop. Aqu un nivel BAJO, o cero lgico, activa la entrada de set(S). Esta pone
la salida normal Q al nivel alto, o 1. Seguidamente encontramos la condicin "reset".
El nivel BAJO, o 0, activa la entrada de reset, borrando (o poniendo en reset) la
salida normal Q.
La cuarta lnea muestra la condicin de "inhabilitacin" o "mantenimiento", del flipflop RS. Las salidas permanecen como estaban antes de que existiese esta
condicin, es decir, no hay cambio en las salidas de sus estados anteriores. Indicar
la salida de set, significa poner la salida Q a 1, de igual forma, la condicin reset
pone la salida Q a 0.
2.- Implementar el circuito mostrado en la Figura , analizar su funcionamiento y
construir su tabla de verdad.

CIRCUITO N 2
0
U1:A

D1

LED-RED

U3:A

U2:A

D1

3
7408

LED-RED

7432
7402

RV1(2)

U2:B

U1:B

4
6

U1

U3:B

RV1

5
7402

VCC

7408
Q
DC
5

7432

D2
LED-RED

3
7

1k

CV

R1

TR

GND

100k
TH

6
555

C1
10uf

Figura (16)
Tabla de verdad:
-Qn+1: led color rojo
____
-Qn+1: led color Amarillo

Laboratorio De Sistemas Digitales

13

Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

CONCLUSIONES:
1. Se observa que cuando estn activados el preset y/o el clear las dems entradas no
influyen en las salidas
2. Al desactivar el preset y clear y solo modificando los valores de R y S adems de
dndole la seal del reloj existe un solo caso indefinido y eso ocurre cuando las dos
entradas son 1
3

.- Implementar el Circuito de la Figura 3, analice su funcionamiento y


construir su Diagrama de tiempo.

CIRCUITO N3

U1:A(S)

U1:A

U2:A
2

2
3

CLK

74LS266

D1
LED-GREEN

7474

U1:A(R)

R2
20K
U1:B(S)

CLK

13

11

10

U1:B

12

7474

D2
LED-GREEN

U1:B(CLK)
U1:B(R)

R1
20K

Laboratorio De Sistemas Digitales

14

Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

Figura (17)
Diagrama de tiempos

4 .- Utilizando el Flip Flop D, disear un circuito que el permita convertir a Flip


Flop JK. Implementar el circuito y verifique su tabla de verdad.
SOLUCION
Sabemos que las tablas de verdad general de los biestables son:

Plantearemos una tabla en la que los Flip Flop D y JK realizan todos los cambios
posibles (tabla de excitacin o habilitacin):
J
0
1
X
X

Laboratorio De Sistemas Digitales

K
X
X
1
0

0
0
1
1

15

0
1
0
1

D
0
1
0
1

Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

Las entradas del circuito que disearemos son J, K y el estado anterior (


), solo tendr una salida que ser la entrada D del Flip Flop con que contamos,
llevando esta tabla a un mapa de karnaugh, tenemos:
0
0
0 0
1 1

0
1
0
0

1
1 10
1 1
0 1
D

Donde resolviendo el mapa obtenemos

U2:A

1
3
2

74HC32
6

2
74HC04

74HC08

CLK

U2:B
U4:A

U1:A

U3:A
1

74HC08

74HC74

Verifiquemos su tabla de verdad:


J
0
0
1
1

K
0
1
0
1

D
0
1

0
1

Por tanto, hemos transformado un Flip Flop D en un Flip Flop JK, vemos que en
nuestra ultima tabla las entradas J y K hacen que los valores de
sean los de un
Flip Flop JK, por ello es correcto el circuito que diseamos para la requerida
conversin.
OBSERVACION:
En vista que la entrada K del Flip Flop es negada, cuando se trabaje a alta
frecuendcia, es probable que el Flip Flop tenga errores en sus secuencias.
CONCLUSION:
Podemos disear el Flip Flop que necesitemos a partir de cualquiera que tengamos.

Laboratorio De Sistemas Digitales

16

Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

5 .- Utilizando el Flip Flop D, disear un circuito que el permita convertir a Flip


Flop T, implementar el circuito y verifique su tabla de verdad.
SOLUCION
Como en el caso anterior, plantearemos la tabla de excitacin/habilitacin de los Flip
Flops D y T.
T
0
1
1
0

0
0
1
1

0
1
0
1

D
0
1
0
1

Al igual que el caso primero, las entradas de nuestro circuito sern la entrada D y el
estado anterior
, la salida ser la entrada D del Flip Flop con que contamos,
llevando esta tabla a un mapa de karnaugh, tenemos:
0 1
0 0 1
1 1 0
D
Donde resolviendo el mapa obtenemos

U1:B

10

U5:A
3

12

2
11

CLK

13

74HC86

8
74HC74

Verifiquemos su tabla de verdad:

T
Laboratorio De Sistemas Digitales

D
17

Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

0
1
Por tanto, hemos transformado un Flip Flop D en un Flip Flop T, vemos que en
nuestra ultima tabla la entrada T hacen que los valores de
sean los de un Flip
Flop T, por ello es correcto el circuito que diseamos para la requerida conversin.
OBSERVACION:
Es recomendable que cuando montemos el circuito que hemos diseado, le
acoplemos el circuito de autoreset (circuito RC), de ese modo aseguramos que el
estado inicial sea bajo.
CONCLUSION:
En todo momento la salida de la puerta OR Exclusiva depende del estado anterior,
pues cuando T=0, la salida de la puerta es , y cuando T=1, si el estado anterior es
0, la salida ser 1, mientras que si el estado anterior en 1, la salida ser 0, es decir
la salida de la puerta siempre ser .

6.- Implementar el circuito de la Figura 4, analice su funcionamiento y


desarrolle su tabla de verdad.
CIRCUITO N6
J

U1:A

U1:C

1
3

10

8
9
7400
7400

U1:D

U1:B
K

13

11
6

12

5
7400
7400

Laboratorio De Sistemas Digitales

18

Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica


0

estado anterior negado

estado anterior

Funcionamiento:
Como puede verse en el smbolo del flip-flop J-K, este posee dos salidas
complementarias Q y Q al igual que el flip-flop R-S.
Las caractersticas del flip-flop J-K
-Cuando J=1 y K=1,el flip-flop J-K retiene el estado que posea anteriormente.
- Cuando J=1 y K=0, el flip-flop J-K tomar el estado Q=1 independientemente del
estado en el que se encontraba anteriormente
- Cuando J=0 y K=1, al el flip-flop J-K tomar el estado Q=0 independientemente del
estado en el que se encontraba anteriormente.
- Cuando J=0 y K=0, el flip-flop J-K tomar un estado opuesto a aqul en el cual se
encontraba anteriormente. Esto quiere decir que si antes el flip-flop J-K se
encontraba en el estado Q=1, entonces tomar el estado Q=0 despus de la
transicin. Asimismo, si se encontraba en el estado Q=0 antes de la transicin,
entonces tomar el estado Q=1 despus de la transicin.
2. DE LOS MANUALES DEL FABRICANTE DESCRIBA TODAS
CARACTERISTICAS DE LOS LATCH Y FLIP FLOP COMERCIALES

LAS

74HC/HCT573
OCTAL D-TYPE TRANSPARENT LATCH; 3-STATE
Caractersticas:
-Entradas y salidas en lados opuestos del paquete que permita interfaz fcil con
microprocesadores
-Es til como entrada o salida de puerto para microprocesadores
microcomputadoras /
-salidas 3-State no inversor para el autobs aplicaciones orientadas a
-Comn de salida 3-state entrada de habilitacin
-Funcionalmente idntico al "563 y 373 "

Laboratorio De Sistemas Digitales

19

Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

-Capacidad de salida: conductor de autobs


- CPI categora: MSI

Datos De Referencia:
GND = 0 V; Tamb = 25 C; tr = tf = 6 ns

Nmero Pin. Smbolo Nombre Y Funciones:

SN74LS174
- Borde-Accionado Entradas D-Type Reloj
- Borde-Accionado tamponado-positivo
-Restablecer Comn asincrnico
- Diodos de entrada Abrazadera limitar los efectos de alta velocidad de terminacin
Rangos De Funcionamiento Garantizado

Laboratorio De Sistemas Digitales

20

Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

MM74C76
Dual J-K Flip-Flops with Clear and Preset
Caractersticas:
-de alta velocidad: Fmax = 67MHz (tpico) en VCC = 6V
-baja disipacin de potencia:ICC = 2 mA (MAX) en TA = 25 C
-alta inmunidad al ruido:
=

= 28% VCC (min.)

-Impedancia de salida simtrica:


|

|=

= 4mA (MIN)

- retardos de propagacin equilibrado:


<>
- Amplia Gama De Voltaje De Funcionamiento:
VCC (OPR) = 2V a 6V
-pin y compatible con funcin 74 serie 76
Descripcin Pin:

Laboratorio De Sistemas Digitales

21

Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

3. APLICACION DE LAYCHES Y FLIP FLOPS


LATCH RS CMOS

Laboratorio De Sistemas Digitales

22

Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

S=1 (R=1 simetra)


N1 On y P1 Off
P = low

N3 Off y P3 On
para obtener Q
necesito conocer R
R=0 (S=0 simetra)
N4 Off y P4 On

Qantes

Pantes

FLIP FLOP

Registros de desplazamiento, contadores, divide por N

Memorias: RAM y ROM

Utilizamos las funciones de entrada de los flip flops para dibujar la lgica
combinaciones correspondiente a las entradas de cada flip flop y formar as el
contador.
Las funciones de entrada obtenidas de los Mapas de Karnaugh son:
J2 = K2 =Q1Q0
J1 = K1 = Q0
J0 = K0 = 1
Note que el circuito obtenido corresponde al del contador de 3 bits analizado
V).-CONCLUSIONES:
Laboratorio De Sistemas Digitales

23

Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

A travs de esta prctica aprendimos acerca de los flipflop que son celdas
binarias que son capaces de almacenar 1 bit de informacin, los cuales estn
conformados por las entradas del mismo, las cuales se marcan como J y K y
sus salidas marcadas como Q y Q, adems estn integrados por una entrada
de reloj, as como por el clear y preset.
Para ello lo sincronizamos con el flipflop gracias a la entrada de reloj.
Es uno de los mtodos ms usados para estudiar el comportamiento de las
seales de un biestable ( estado interno, salidas, etc. ) y de cualquier circuito
secuencial en general. En l se ve en un grfico seal-tiempo como
evolucionan las seales al comps de la seal de reloj ( por flanco o nivel ) de
una forma muy explcita.
Un solo flip-flop se puede utilizar para almacenar un poco , o dgitos binarios,
de datos.
Si comparas los dos flip-flop representados en el grfico, vers que slo
difieren en los niveles de seal que se utilizan, debido a la tabla de verdad
que le corresponde a cada tipo de compuerta
En todo momento la salida de la puerta OR Exclusiva depende del estado
anterior, pues cuando T=0, la salida de la puerta es
, y cuando T=1, si el
estado anterior es 0, la salida ser 1, mientras que si el estado anterior en 1,
la salida ser 0, es decir la salida de la puerta siempre ser .
Se observa que cuando estn activados el preset y/o el clear las dems
entradas no influyen en las salidas
Al desactivar el preset y clear y solo modificando los valores de R y S
adems de dndole la seal del reloj existe un solo caso indefinido y eso
ocurre cuando las dos entradas son 1

VI).-BIBLIOGRAFA.
FUNDAMENTOS DE SISTEMAS DIGITALES / THOMAS L. FLOYD / 9
edicin / editorial PEARSON / pg. 412 457.
SISTEMAS DIGITALES, Principios y aplicaciones - 8 EDICION
TOCCI WIDNER
EDITORIAL: PEARSON EDUCACION
CIRCUITOS LOGICOS Y SISTEMAS DE MICROCOMPUTADORAS - 8
EDICION
Laboratorio De Sistemas Digitales

24

Prof. Ing. Utrilla Salazar Daro

Universidad Nacional del Callao

Escuela Profesional de Ingeniera Electrnica

WIATROWSKI
EDITORIAL: LIMUSA
VII).- ENLACES .
http://www.profesormolina.com.ar/electronica/componentes/int/biest.htm,
consultado el 11 de Enero del 2011
http://es.wikipedia.org/wiki/Biestable, el 11 de Enero del 2011
http://www.profesormolina.com.ar/electronica/componentes/int/biest.htm,
consultado el 11 de Enero del 2011
http://www.esi.uclm.es/www/isanchez/teco/tema6.pdf, consultado el 11 de
Enero del 2011

Laboratorio De Sistemas Digitales

25

Prof. Ing. Utrilla Salazar Daro

You might also like