You are on page 1of 4

ASIC Backend Physical Design Questions -ElectronicsBus 2014

file:///C|/Users/COMSOL/Desktop/ASIC%20Backend%20Physical%20Design%20Questions%20-ElectronicsBus%202014.htm[6/21/2014 7:55:27 PM]


Electronics Bus
Home About Us Contact Us RSS Feed Subscription
Magazine Tech Articles Products Electronics Q & A Projects & Circuits EBooks & Tutorials
ASIC Backend Physical Design Questions
Q. Explain the flow of physical design and inputs and outputs for each step in flow.
Q. Why higher metal layers are preferred for Vdd and Vss?
Q. Why clock is not synthesized in DC?
Q. Which layer is used for clock routing and why?
Q. Which is more complicated when you have a 32 MHz and 512 MHz clock design?
Q. Whether congestion is related to placement or routing?
Q. What parameters (or aspects) differentiate Chip Design & Block level design?
Q. What is wire load model?
Q. What is transition? What if transition time is more?
Q. What is track assignment?
Q. What is tie-high and tie-low cells and where it is used
Q. What is threshold voltage? How it affect timing?
Q. What is the significance of negative slack?
Q. What is the difference between synthesis and simulation?
Q. What is the difference between core filler cells and metal fillers?
Q. What is signal integrity? How it affects Timing?
Q. What is SDC constraint file contains?
Q. What is scan chain? What if scan chain not detached and reordered? Is it compulsory?
Q. What is partial floor plan?
Q. What is OPC, PSM?
Q. What is negative slack ? How it affects timing?
Q. What is metal density, metal slotting rule?
Q. What is meant my 9 track, 12 track standard cells?
Q. What corner cells contains?
Q. What are types of routing?
Q. What are the steps that you have done in the design flow?
Q. What are the steps involved in designing an optimal pad ring?
Q. What are the problems faced related to timing?
Q. What are the common issues in floor plan?
Q. What is logic optimization and give some methods of logic optimization.
Q. What is LEF?
Q. What is latency? Give the various latency types?
Q. What is IR drop? How to avoid .how it affects timing?
Q. What is hold problem? How can you avoid it?
Search
ASIC Backend Physical Design Questions -ElectronicsBus 2014
file:///C|/Users/COMSOL/Desktop/ASIC%20Backend%20Physical%20Design%20Questions%20-ElectronicsBus%202014.htm[6/21/2014 7:55:27 PM]
Q. What is grided and gridless routing?
Q. What is floor plan and power plan?
Q. What is ESD?
Q. What is EM and its effect?
Q. What is effective utilization and chip utilization?
Q. What is each macro size and no. of standard cell count?
Q. What is difference between normal buffer and clock buffer?
Q. What is difference between HFN synthesis and CTS?
Q. What is DEF?
Q. What is cross talk? How can you avoid?
Q. What is core and how you will decide w/h ratio for core?
Q. What is content of lib, lef, sdc?
Q. What is congestion?
Q. What is cloning and buffering?
Q. What is cell delay and net delay?
Q. What is antenna effect? How it can be avoided?
Q. What is a macro and standard cell?
Q. What is a grid? Why we need different types of grids?
Q. What is .lib, LEF, DEF, .tf?
Q. What if hot spot found in some area of block? How you tackle this?
Q. What are the Input needs for your design?
Q. What are the input files will you give for primetime correlation?
Q. What are the algorithms used while routing? Will it optimize wire length?
Q. What are placement blockages?
Q. What are high-Vt and low-Vt cells?
Q. What are the common DFM issues?
Q. What are delay models and what is the difference between them?
Q. What are clock trees?
Q. What are clock tree types?
Q. Name few tools which you used for physical verification?
Q. In your project what is die size, number of metal layers, technology, foundry, number of clocks?
Q. In which layer do you prefer for clock routing and why?
Q. If the routing congestion exists between two macros, then what will you do?
Q. If lengthy metal layer is connected to diffusion and poly, then which one will affect by antenna problem?
Q. If in your design has reset pin, then itll affect input pin or output pin or both?
Q. How will you place the macros?
Q. How will you decide the Pin location in block level design?
Q. How will you decide the die size?
Q. How to find total chip power?
Q. How to find number of power pad and IO power pads?
Q. How to decide number of pads in chip level design?
Q. How to calculate core ring width, macro ring width and strap or trunk width?
Q. How to calculate core ring and stripe widths?
Q. How the width of metal and number of straps calculated for power and ground?
Q. How slow and fast transition at inputs effect timing for gates?
Q. How R and C values are affecting time?
Q. How ohm (R), farad (C) is related to second (T)?
Q. How much aspect ratio should be kept (or have you kept) and what is theutilization?
Q. How many macros in your previous design?
Q. How double spacing will avoid cross talk?
Q. How do you place macros in a full chip design?
Q. How did you do power planning?
Q. How do you resolve the setup and hold time violation problem?
Q. How did you handle the Clock in your design?
Q. How delays vary with different PVT conditions? Show the graph.
Q. How can you estimate area of block?
Q. During power analysis, if you are facing IR drop problem, then how did you avoid?
Q. Differentiate between a Hierarchical Design and flat design?
Q. Define antenna problem and how did you resolve these problem?
Q. After adding stripes also if you have hot spot, what do you do?
Q. What is meant by scaling in VLSI design? Describe various effects of scaling.
Q. What is meant by 90nm technology?
Q. What is a transmission gate, and what is its typical use in VLSI?
Q. What is ASIP?
Q. What are the differences between gate array ASIC and cell based ASIC?
ASIC Backend Physical Design Questions -ElectronicsBus 2014
file:///C|/Users/COMSOL/Desktop/ASIC%20Backend%20Physical%20Design%20Questions%20-ElectronicsBus%202014.htm[6/21/2014 7:55:27 PM]
Posted by Viswa in VLSI FPGA ASIC Design Tags: ASIC Design Verification & Validation
Bookmark and Share this Article to your Friends:
0

Relevant Technical Articles:
1. Interview Questions to ASIC Design Engineer Jobs:- Q. What is meant by scaling in VLSI design? Describe
various effects of scaling. Q....
2. FPGA & ASIC Verification & Validation Questions:- Q. Why is it important to keep code for
generators/scoreboards and code for BFMs separated?...
3. Questions on SystemVerilog Design Coding & Simulation:- Q. What are different integer data types in
System verilog (SV)? Q. What is difference...
4. Questions on VLSI FPGA Design & Verification:- Q. What is FPGA ? Q. What is the significance of FPGAs in
modern day...
5. FPGA Vs ASIC Design: A Strategic Comparison:- FPGA Vs ASIC strategic comparison. This technical article
discusses basic comparison of FPGA and ASIC,...
6. EMI/EMC & RF-Noise Reduction in Wireless RF IC Physical Design:- FloorDirector is a RFIC physical
design backend designer tool for chip-level noise reduction of EMI/EMC...
7. Interview Questions on FPGA Design Simulation & Synthesis:- Q. What is the full form of RTL? Q. What is
the difference between RTL...
8. Standard Cell ASIC to FPGA Conversion Design Guide:- The cost of designing traditional standard cell
ASICs is increasing every year. In addition to...
9. FPGA to ASIC Conversion FPGA-ASIC Design Migration:- FPGA to ASIC Design Converter Software
Tools. FPGA Design For Portability. FPGA to ASIC Migration...
10. FPGA Design For Portability FPGA to ASIC Conversion:- FPGA Design For Portability for FPGA to ASIC
SOC Conversion. FPGA - ASIC Potential voltage...
Leave a Reply
Name (required)
Email (will not be published) (required)
Write Your Comment Here:
(Note: Please add comments relevant to the topic. Spammy cooments and links will be deleted.)
Q. When you want the production in bulk amount which design style you prefer? Justify?
Q. State the importance of Lithography in VLSI design?
Q. Power Optimization Techniques for deep sub micron?
Q. Define congestion in routing?
Q. What do you mean by rip-up and re-routing?
Q. What is Yield in fabrication process?
ASIC Backend Physical Design Questions -ElectronicsBus 2014
file:///C|/Users/COMSOL/Desktop/ASIC%20Backend%20Physical%20Design%20Questions%20-ElectronicsBus%202014.htm[6/21/2014 7:55:27 PM]
Home | About Us | Contact Us | RSS Feed | Subscription | Projects & Circuits | EBooks & Tutorials |
Copyright 2012 ElectronicsBus Magazine All rights reserved. Visitor Stats
Please Complete the Math Formula: (To Prove You Are Not A Spam Robot) *
+ 9 = eleven
Subscribe to RSS feed
Recent Posts:
Laser Cooling To Cool Electronics at IC Semiconductor-level
PCB Printed Circuit Board Types
Flexible PCB (FPCB) Flex Circuit Boards
High-Frequency PCB RF Circuit Boards
PCB Traces Current Carrying Capacity and Temperature Rise
High Temperature PCB Designer Guide
Solderless Assembly for Electronics Manufacturing
Solar Panel Stickers of Peel-and-Stick Thin-Film Solar PV Cells
X-Ray Fluorescence Spectroscopy (XRF)
RoHS XRF X-Ray Fluorescence Analyzer
RoHS Testing RoHS Screening
Software Tools for RoHS REACH Compliance
RoHS Compliance for RFID Tags
RoHS Compliance for Wires and Cables in Electrical and Electronics Equipment
RoHS Exemptions Is R&D equipment excluded from RoHS ?
RoHS Batteries RoHS Compliance
How REACH and RoHS 2 related to each other?
RoHS-2 Revision Updates over RoHS-1
Human Energy Harvesting to Power Portable Devices
Thermoelectric Tubes Generate Electricity from Hot Water
PCB Log Periodic Antennas
Optical Wavelength Meters
Bypass Capacitor Operation and Noise Ripple Characteristics
RTOS Software for Embedded Systems
Bluetooth Vs RFID Comparison
Aerospace Military Design Analog Circuit Design Automotive Electronics
Batteries and Fuel Cells BioMedical Electronics Biometric Security Systems
Component Engineering Cryptography Data Security Digital Signal Processing
Digital System Design Electrical Power Systems Electronics Business & Jobs
Electronics Industry News Embedded System Design Energy Harvesting
Hobby Projects & Circuits Industrial Electronics Mechatronics Design
Multimedia HW Design Network Communications Optical Communications
PCB Design & Assembly Power Electronics Reliability Engineering
Robotics & Artificial Intelligence RoHS & Pb-Free Manufacturing Sensors & Transducers
Software Applications Development Technology News Test & Measurement Tools
Tutorials & EBooks VLSI FPGA ASIC Design Wireless & Tele Communications
Electronics Articles - Topic Categories
Submit Comment

You might also like