Professional Documents
Culture Documents
Relatrio de Laboratrio
de Sistemas Digitais
UP/DOWN E MQUINA DE SODAS
02/12/2014
Natal - RN
Sumrio
INTRODUO ............................................................................................................................ 2
1 ESPECIFICAO ..................................................................................................................... 2
1.1 UP/DOWN .......................................................................................................................... 2
1.2 MQUINA DE REFRIGERANTES .................................................................................. 3
2 MQUINA DE ESTADOS ....................................................................................................... 3
2.1 UP/DOWN .......................................................................................................................... 3
2.2 MQUINA DE REFRIGERANTES .................................................................................. 4
3 DATAPATH .............................................................................................................................. 4
3.1 UP/DOWN .......................................................................................................................... 4
3.2 MQUINA DE REFRIGERANTES .................................................................................. 5
4 IMPLEMENTAO EM VHDL .............................................................................................. 6
4.1 UP/DOWN .......................................................................................................................... 6
4.2 MQUINA DE REFRIGERANTES .................................................................................. 6
CONCLUSO .............................................................................................................................. 8
ANEXOS....................................................................................................................................... 9
INTRODUO
1 ESPECIFICAO
1.1 UP/DOWN
Construir um somador/subtrator com 3 entradas A (4 bits), d (bit), operao (bit)
e uma sada R_Total (6 bits ou mais) com o seguinte comportamento:
- Inicializar R_Total e R_temp em 0;
- Caso operao = 1 e d=1 deve-se somar,ou seja, R_temp = R_temp + A;
- Caso operao = 0 e d=1 deve-se subtrair, ou seja, R_temp = R_temp A;
- Caso d=0 deve-se fazer a carga no registrador de sada (R_Total = R_temp).
2 MQUINA DE ESTADOS
2.1 UP/DOWN
3 DATAPATH
3.1 UP/DOWN
4 IMPLEMENTAO EM VHDL
4.1 UP/DOWN
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity updown is
Port (
RS : out STD_LOGIC_VECTOR (7 downto 0); --registrador de saida
OP : in STD_LOGIC; -- se soma ou subtrai
D : in STD_LOGIC;
-- modo contagem ou carga
A : in STD_LOGIC_VECTOR (3 downto 0)); -- entrada
end updown;
architecture Behavioral of updown is
signal rt : STD_LOGIC_VECTOR (7 downto 0) := X"00";
begin
process (D, OP)
begin
if (D'event and D = '1') then
if OP = '0' then
rt <= rt - A;
elsif OP = '1' then
rt <= rt + A;
end if;
elsif (D'event and D = '0') then
RS <= rt;
end if;
end process;
--if (D = '0') then
-- end if;
end Behavioral;
CONCLUSO
Saber programar em VHDL muito importante, pois podemos definir sistemas
de maneira mais abstrata o que facilita o entendimento. Alm disso, de suma
importncia sabermos realizar o projeto RTL de um sistema, e ao implementarmos esses
dois sistemas aqui descritos pudemos nos familiarizar com o VHDL e os projetos afins.
ANEXOS
Exemplos de Simulao Up/Down: