You are on page 1of 124

Estructura y Tecnologa de Computadores

Representacin de la informacin en las mquinas

CAPTULO 1
REPRESENTACIN
DE LA INFORMACIN

1.1. SISTEMAS DE NUMERACIN Y CDIGOS BINARIOS


Un sistema de numeracin se define como un conjunto de smbolos y reglas que se utilizan
para representar cantidades. Se denomina base al nmero de smbolos presentes en dicho
conjunto.
La representacin de las cantidades se efecta por medio de cadenas de smbolos. Cada
uno de ellos posee un significado que depende del smbolo en s y de la posicin que ocupa
dentro de la cadena. A este tipo de sistemas de numeracin se les denomina posicionales,
frente a los no posicionales como puede ser el sistema de numeracin romano.
Los distintos sistemas de numeracin posicionales se basan en los mismos principios por
los que la representacin de una cantidad se efecta siguiendo el Teorema Fundamental de la
Numeracin:
En un sistema de numeracin posicional de base b, una cantidad N cualquiera puede
representarse mediante una suma de potencias de la base, multiplicadas por un smbolo
perteneciente al sistema de numeracin.
Lo anterior equivale a decir que la cantidad N representada por la yuxtaposicin de
smbolos a n a n 1 a n 2 a 1 a 0 a m en base b se puede escribir como:
n

N = a n b + a n 1 b

n 1

++ a i b ++ a 1 b + a 0 b + a

1 b

+a

2 b

++ a

1.1.1. Sistemas de numeracin ms comunes

Sistema decimal

Se trata del sistema de numeracin que le es ms familiar al ser humano. Su base es 10 y


utiliza los smbolos {0,1,2,3,4,5,6,7,8,9}.
Ejemplo: la cantidad 1865.35210 se puede escribir como:
3

-1

-2

-3

1865.35210 = (110 + 810 + 610 + 510 + 310 + 510 + 210 )10

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg 1.

Estructura y Tecnologa de Computadores

Representacin de la informacin en las mquinas

Sistema binario

Es el nico utilizado por los sistemas digitales. Su base es 2 y utiliza slo dos smbolos:
{0,1}. A este sistema tambin se le conoce como Sistema Binario Natural (SBN).
Ejemplo: el nmero 1101.112 se puede escribir como:
3

1101.112 = (12 + 12

+ 02 + 12 + 12

+ 12

) 10 = 13.75 10

Cada dgito de un nmero representado en binario se le denomina bit, palabra formada de


la contraccin de los trminos en ingls binary digit.
En un nmero binario se define:
LSB (Least Significant Bit): bit menos significativo, conocido como el bit de
menos peso.
MSB (Most Significant Bit): bit ms significativo, conocido como el bit de ms
peso.

Ejemplo:

MSB

LSB
101101

Es frecuente el uso de los siguientes mltiplos del bit:


4 bits, cuarteto o nibble (ejemplo, 1001).
8 bits, octeto o byte (ejemplo, 11001101).
1024 bytes u 8192 bits forman un kilobyte, KB o simplemente K.
1024 kilobytes forman un megabyte, MB, o simplemente M (1.048.576 bytes).
1024 megabytes forman un gigabyte, GB, o simplemente G (1.073.741.824 bytes).

Sistema octal

Es un sistema de numeracin cuya base es 8 y que utiliza los smbolos {0,1,2,3,4,5,6,7}


para la representacin de cantidades.
Cada cifra octal equivale a tres dgitos en binario segn la tabla 1.1.

Octal
Binario
0
000
1
001
2
010
3
011
4
100
5
101
6
110
7
111
Tabla. 1.1

Sistema hexadecimal

Es un sistema de numeracin cuya base es 16 y que utiliza los smbolos:


{0,1,2,3,4,5,6,7,8,9,A,B,C,D,E,F} para la representacin de cantidades.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg 2.

Estructura y Tecnologa de Computadores

Representacin de la informacin en las mquinas

Cada cifra hexadecimal equivale a cuatro dgitos en binario segn la tabla 1.2.

Hexadecimal
0
1
2
3
4
5
6
7
8
9
A
B
C
D
E
F

Binario
0000
0001
0010
0011
0100
0101
0110
0111
1000
1001
1010
1011
1100
1101
1110
1111
Tabla. 1.2

1.1.2. Conversiones de unos sistemas de numeracin a otros

Binario a decimal

Para pasar un nmero del sistema binario al decimal, se aplica el Teorema Fundamental de
la Numeracin, operado en base 10.
Ejemplo: el nmero 11011.1012 en el sistema decimal ser:
4

-1

-2

-3

11011.1012 = (12 + 12 + 02 + 12 + 12 + 12 + 02 + 12 ) 10=


= ( 16+ 8 + 0 + 2 + 1 + 0.5+ 0 + 0.125) 10 = 27.62510

Decimal a binario

La conversin de un nmero del sistema decimal al binario generalmente se realiza en dos


pasos:
La parte entera se divide por 2 y el resto es el bit menos significativo del nmero
binario. El cociente se divide por 2, de nuevo, obteniendo en el resto el bit
inmediatamente ms significativo que el anterior. Repitiendo el proceso se llega
hasta obtener un cociente nulo. El resto de esta ltima divisin es el bit ms
significativo.
La parte fraccionaria se multiplica por 2 y la parte entera resultante ser el bit
ms significativo. Se repite la multiplicacin con la parte fraccionaria resultante
de la operacin anterior, obteniendo as el bit inmediatamente ms significativo.
Repitiendo el proceso se llega finalmente a una parte fraccionaria nula, salvo
que la representacin de la cantidad en binario sea un nmero peridico de
perodo no nulo.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg 3.

Estructura y Tecnologa de Computadores

Representacin de la informacin en las mquinas

Ejemplo: pasar del sistema decimal al sistema binario el nmero 87.375.


Parte Entera
87
1

2
43
1

Parte Fraccionaria

2
21
1

2
10
0

2
5
1

0,375
0,75
0,5
2
2
0

2
1
1

2 = 0.75
2 = 1.5
2=1

2
0

87.375 10 = = 1010111.011 2

Otra opcin muy utilizada para la conversin de decimal a binario consiste en ir restando
del nmero decimal, a convertir, las sucesivas potencias de 2 que sea posible, empezando por
la mayor, hasta agotar la cantidad a convertir.
Posicin
6
5
4
3
2
1

Potencia de 2
64
32
16
8
4
2

Posicin
0
1
2
3
4
-5

Potencia de 2
1
0.5
0.25
0.125
0.0625
0.03125

Ejemplo: pasar del sistema decimal al sistema binario el nmero 37.5625 10 .


37.5625 10 = 100101.1001 2

Octal a binario

Teniendo en cuenta la tabla 1.1, se sustituye cada cifra del nmero representado en el
sistema octal por su equivalente binario.
Ejemplo: pasar del sistema octal al sistema binario el nmero 6517.158.
6517.158 = 110 101 001 111. 001 101 =110101001111.0011012

Binario a octal

Para pasar del sistema binario al octal, se separan las cifras del nmero representado en el
. }. Se sustituyen por
sistema binario de tres en tres, a ambos lados de la coma decimal {
su cifra equivalente en el sistema octal con arreglo a la tabla 1.1.
Ejemplo: pasar del sistema binario al octal el nmero:
10110100011.00112 = 010 110 100 011. 001 100 = 2643.148

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg 4.

Estructura y Tecnologa de Computadores

Representacin de la informacin en las mquinas

Decimal a octal

Para convertir un nmero expresado en el sistema decimal al octal el mtodo utilizado es el


de las divisiones sucesivas por 8 para la parte entera y multiplicaciones sucesivas por 8 para la
parte fraccionaria (similar a la conversin decimal-binario)
Ejemplo: convertir el nmero decimal 3254.140625 10 a octal:
Parte Entera
3254
6

Parte Fraccionaria

8
406
6

0,140625
0,125

8
50
2

8
6
6

8 =1.125
8 =1.00

8
0

3254.140625 10 = 6266.11 8

Octal a decimal

Para convertir un nmero expresado en el sistema octal al decimal se aplica el teorema


fundamental de la numeracin, tanto para la parte entera como para la parte fraccionaria.
Ejemplo: convertir el nmero octal 765.1 a decimal.
765.1 8 = 78

+68 + 58 +18

= 448 + 48 + 5 + 0.125 = 501.125 10

Binario a hexadecimal

Para pasar del sistema binario al hexadecimal basta recordar la tabla 1.2. As, se separan
las cifras del nmero representado en el sistema binario de cuatro en cuatro, a ambos lados de
. } y se sustituye cada grupo de cuatro dgitos binarios por su
la coma decimal {
equivalente hexadecimal.
Ejemplo: convertir el nmero binario 1011010.001 a hexadecimal.
Binario
Hexadecimal

0101
5

1010
A

.
.

0010
2

Hexadecimal a binario

Para convertir un nmero expresado en el sistema hexadecimal al sistema binario se


sustituye cada cifra del nmero expresado en hexadecimal por su equivalente en binario, segn
la tabla 1.2.
Ejemplo: convertir el nmero hexadecimal 18E.F a binario.
Hexadecimal
Binario

1
0001

8
1000

E
1110

.
F
. 1111

Decimal a hexadecimal

Para pasar un nmero del sistema decimal al hexadecimal el mtodo utilizado, al igual que
los anteriores, es el de las divisiones sucesivas por 16 para la parte entera y multiplicaciones
sucesivas por 16 para la parte fraccionaria.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg 5.

Estructura y Tecnologa de Computadores

Representacin de la informacin en las mquinas

Ejemplo: convertir el nmero decimal 3561.546845 a hexadecimal.


Parte Entera
3561
9

Parte Fraccionaria

16
222
14

16
13
13

0,546845
0,74952
0,99232
0,87712

16
0

16 = 8.74952
16 = 11.99232
16 = 15.87712
16 = 14.03392

3561.546845 10 = DE9. 8BFE 16

Hexadecimal a decimal

Para convertir del sistema hexadecimal al decimal se aplica el teorema fundamental de la


numeracin, tanto para la parte entera como para la parte fraccionaria.
Ejemplo: convertir el nmero hexadecimal 2B7.5 a decimal.
2B7.5 16 = 2 16

+ B 16 + 7 16

+ 5 16

= 2 16 + 11 16 + 7 16

+5 16

= 512 + 176 + 7 + 0,3125 = 695.3125 10

1.1.3. Cdigos binarios


Los cdigos se utilizan para representar smbolos. Un cdigo binario consiste en la
asociacin de cada smbolo representado a una determinada combinacin de bits.
En un cdigo que utilice n bits, el nmero mximo de combinaciones que se puede
n
construir es de 2 , siendo este el mayor nmero de smbolos que pueden ser representados,
lgicamente.
Los cdigos binarios de cuatro dgitos ms usuales son:
Sistema binario natural (SBN): se trata del propio sistema binario de
numeracin. Representa los nmeros naturales en binario.
Cdigo BCD natural (decimal codificado en binario): cada dgito del sistema
decimal se codifica utilizando cuatro bits del sistema binario.
Cdigo BCD exceso-3: se genera a partir del BCD natural sumando 3 a cada
uno de sus valores binarios. Es un cdigo simtrico.
Cdigo Gray: es un cdigo continuo, en el que dos nmeros consecutivos
difieren slo en un bit.
Cdigo Aiken: en este cdigo cada cifra es el complemento a 9 de su cifra
simtrica cambiando todos sus dgitos. Es un cdigo muy utilizado para
operaciones de resta y divisin.
Decimal
0
1
2
3
4
5
6
7
8
9

Binario natural
0
1
10
11
100
101
110
111
1000
1001

BCD natural
0000
0001
0010
0011
0100
0101
0110
0111
1000
1001

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

BCD exceso-3
0011
0100
0101
0110
0111
1000
1001
1010
1011
1100

Gray
0000
0001
0011
0010
0110
0111
0101
0100
1100
1101

BCD Aiken
0000
0001
0010
0011
0100
1011
1100
1101
1110
1111
Pg 6.

Estructura y Tecnologa de Computadores

Representacin de la informacin en las mquinas

Ejemplo: el nmero 326 representado en los cdigos reseados sera:


-Binario natural ......... 11 0010 0110
-BCD natural. ....... 0011 0010 0110
-Gray......... .0010 0011 0101
-BCD exceso-3 ....... ..0110 0101 1001
-BCD Aiken.0011 0010 1100

1.2. ARITMTICA BINARIA


En un ordenador se procesa internamente la informacin utilizando nicamente dgitos
binarios. A continuacin se van a exponer la adicin, sustraccin, multiplicacin y divisin en el
sistema binario.

Suma

Es similar a la suma en el sistema decimal utilizando nicamente dos smbolos (0 y 1) y


cuando el resultado de la suma excede de la base se genera un acarreo que se aade a la
suma parcial siguiente.
Tabla de sumar para el cero

Tabla de sumar para el uno

0+0=0
0+1=1

1+0=1
1+1=0 (se acarrea 1 a la
izquierda.)

Ejemplo: realizar la siguiente suma.


1
1 1 0 1. 0 0
+ 1 0 0 1. 0 1
1 0 1 1 0. 0 1

acarreo

Resta

En la resta, al igual que en el sistema decimal, existir un minuendo y un sustraendo. Si


el dgito del sustraendo fuera mayor que el dgito del minuendo, existira un acarreo, que se
sumara a la resta parcial siguiente. El acarreo producido en la primera resta 1 lo llevamos
hasta el bit del sustraendo ms prximo a la izquierda. El segundo paso a realizar sera una
resta parcial con el bit del acarreo y el bit del minuendo (de arriba hacia abajo). Al resultado se
le resta al sustraendo obteniendo la solucin.
Tabla de restar para el cero

Tabla de restar para el uno

0-0=0
0-1=1 (se acarrea 1 a la
izquierda)

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

1-0=1
1-1=0

Pg 7.

Estructura y Tecnologa de Computadores

Representacin de la informacin en las mquinas

Ejemplo: restar los siguientes nmeros en binario.

11010110
1 1 1 1
- 1001111
10000111

} Acarreo

Multiplicacin
Es similar a la multiplicacin en el sistema decimal. Se utiliza la siguiente tabla:
Tabla de multiplicar para el cero

Tabla de multiplicar para el uno

0 0=0
0 1=0

1 0=0
1 1=1

Se realiza la multiplicacin de los nmeros en binario realizando la suma de los productos


de cada dgito del multiplicador por el multiplicando, adecuadamente desplazados a la
izquierda
En el caso de nmeros con parte decimal se desplaza la coma a la izquierda tantos
lugares como dgitos decimales tengan los dos factores.
Ejemplo: multiplicar los siguientes nmeros en binario.
1 1 0.0 0
1 1 0.0 0
1 0 0.0 1
1 0 0.0 1
11000
11000
00000
11000
00000
1 1 0 0 1.1 0 0 0
00000
11000
1 1 0 0 1.1 0 0 0 = 11001.1

= 11001.1

Divisin

La metodologa de la divisin es similar a la utilizada en la decimal. Utilizando las tablas de


multiplicacin y de la suma en binario se realiza la divisin.
Ejemplo: dividir los siguientes nmeros en binario.
110111
101
0011
000
0111
101
0101
101
000

101
1011

En el caso de divisiones con parte decimal se puede desplazar la coma a la derecha en el


dividendo tantas veces como lo hagamos en el divisor.
Cualquiera que sea el sistema de numeracin empleado, el resultado de una operacin
concreta ha de ser el mismo, evidentemente.
G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg 8.

Estructura y Tecnologa de Computadores

Representacin de la informacin en las mquinas

1.3. REPRESENTACIN DE NMEROS EN LOS SISTEMAS DIGITALES


Los sistemas digitales manejan datos binarios almacenados en registros y posiciones de
memoria de longitud finita. Esta limitacin, unida a la necesidad de operar con nmeros
negativos requiere otras representaciones para los nmeros enteros: son los llamados formatos
de coma fija. Sin embargo, los nmeros racionales con parte fraccionaria no nula no pueden
representarse utilizando estos formatos. Para este tipo de nmeros, se recurre a la
representacin en formato de coma flotante, el cual permite un rango de representacin de
nmeros racionales mayor que el de coma fija. Se entiende por rango de representacin de un
formato como el conjunto de nmeros que pueden representarse con el formato en cuestin.

1.3.1. Formatos habituales de representacin en coma fija


Los formatos en coma fija ms habituales son los siguientes:
Mdulo y signo (MS)
N 1
Exceso 2
.
Complemento a 1 (C1)
Complemento a 2 (C2)
El numero de dgitos N lo consideramos N=8 salvo indicacin en contra, a fin de
ejemplificar cada uno de los anteriores formatos.

Mdulo y signo (MS)

En esta representacin se considera el bit situado ms a la izquierda (MSB) como el bit de


signo, siendo su valor igual a 0 para el signo positivo y 1 para el signo negativo. El resto de bits
(N-1) seran los correspondientes al mdulo.
Ejemplo:
Numero 12
Numero -12

0 0001100
1 0001100
signo

mdulo

En el caso del formato MS, el rango de representacin sera:


-(2

-Para 8 bits sera:


-Para 16 bits sera:
-Para 32 bits sera:

N 1

-1) = -2

-127
-32767
-2147483647

N 1

+1

x
x
x

N 1

-1

127
32767
2147483647

Este formato tiene la ventaja de poseer un rango simtrico as como la desventaja de


poseer dos representaciones para el cero:
Cero(+)
Cero(-)

Exceso 2

00000000
10000000

N 1

Este formato no utiliza bit para el signo, sino que representa en el sistema binario de
numeracin (SBN) la suma del nmero a representar ms el exceso, que para N bits viene
N 1
dado por 2
. As, para N= 8 bits el exceso ser 128.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg 9.

Estructura y Tecnologa de Computadores

Representacin de la informacin en las mquinas

Ejemplo: el nmero 12 vendr representado por la siguiente suma, expresada en binario:


12+128=140, y para el nmero -12 tendremos -12+128=116. As sus representaciones seran
(para N=8):
Nmero 12
Nmero 12

10001100 (140 en binario)


01110100 (116 en binario)

Su rango de representacin por lo tanto es:


-2

N 1

N 1

-Para 8 bits sera:


-128
-Para 16 bits sera:
-32768
-Para 32 bits sera: -2147483648

-1
x
x
x

127
32767
2147483647

Su mayor inconveniente es su rango asimtrico de representacin.


N 1

El formato en exceso 2
posee la ventaja de tener una nica representacin para el cero,
que para N=8 bits es igual a 10000000.

Complemento a 1 (C1)

Este formato utiliza para la representacin del signo el bit situado ms a la izquierda,
correspondiendo el 0 para el positivo y el 1 para el negativo. El mdulo se representa con los
N-1 bits de la derecha. ste es un formato muy utilizado pues se pueden realizar restas
mediante sumas, empleando as los mismos circuitos sumadores tanto para la adicin como
para la sustraccin.

La representacin de un nmero negativo se obtiene complementando todos sus dgitos,


incluido el bit de signo, esto es, cambiando ceros por unos y unos por ceros.
En C1 los nmeros se suman igual que en binario, aunque si en la suma aparece un
acarreo final, ste se suma al resultado.
Ejemplo:

12
-12

0 0001100
1 1110011

El rango de representacin de este formato es igual a:


-2

N 1

-Para 8 bits sera:


-Para 16 bits sera:
-Para 32 bits sera:

+1

N 1

-127
-32767
-2147483647

-1
x
x
x

127
32767
2147483647

Este formato posee la ventaja de tener un rango simtrico y la desventaja de presentar


dos representaciones para el cero.
Cero (+)
Cero (-)

00000000
11111111

Complemento a 2 (C2)

Al igual que ocurre con el formato de complemento a 1, ste es un formato de coma fija
muy utilizado porque se pueden realizar restas mediante sumas, empleando as los mismos
circuitos sumadores tanto para la adicin como para la sustraccin.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg 10
.

Estructura y Tecnologa de Computadores

Representacin de la informacin en las mquinas

El complemento a 2 utiliza para la representacin del signo el bit situado ms a la


izquierda, correspondiendo el 0 para el positivo y el 1 para el negativo. El mdulo comprende
los N-1 bits de la derecha.
Los nmeros negativos se obtienen complementando (cambiando ceros por unos y
viceversa) todos los bits del nmero positivo, incluido el bit de signo, y despus sumndole 1 al
resultado (se desprecia el ltimo acarreo, si apareciese).
Ejemplo: obtener la representacin en complemento a 2 del nmero 12.
Nmero 12

00001100
1

er

paso

11110011

Nmero -12
2 paso

11110011
+
1
BS 11110100

Rango de representacin:
-2

N 1

N 1

-1

Ejemplo:
-Para 8 bits sera:
-Para 16 bits sera:
-Para 32 bits sera:

-128
-32768
-2147483648

x
x
x

127
32767
2147483647

Presenta la ventaja de representar de una sola representacin el cero y la desventaja de


poseer un rango asimtrico.
Cero (+)
Cero (-)
El acarreo se ignora

00000000
11111111
+
1
1 0000000

A continuacin se ver un ejemplo ilustrativo de utilizacin del formato en complemento a 1


y complemento a 2
Ejemplo: realizar las operaciones a+b y a-c en complemento a 1 y complemento a 2,
siendo:
a=12
00001100
b=10
00001010
c= 3
00000011
Complemento a 1
a+b
00001100
+ 00001010
00010110

Si hubiese habido acarreo


se habra sumado al resultado

a-c = a + (-c)
a= 00001100
-c= 11111100

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg 11
.

Estructura y Tecnologa de Computadores

00001100
+11111100
1 00001000
+
1
00001001

Representacin de la informacin en las mquinas

Se suma el acarreo.

Complemento a 2
a+b
00001100
+ 00001010
00010110

Si hubiese habido acarreo,


se habra ignorado.

a-c = a + (-c)
-c=11111101
00001100
+11111101
1 00001001
Se ignora el acarreo.
Si en complemento a 1 y en complemento a 2 se suman dos cantidades de distinto signo,
el signo del resultado es igual al signo del nmero de mayor mdulo.
Si al sumar dos cantidades del mismo signo el signo del resultado es distinto del de los dos
nmeros, se ha producido desbordamiento (overflow, en ingls).
Ejemplo: Sean a= 96, b=30, c=40, realcense las operaciones a-b y -a-c utilizando el
complemento a 2.
a-b
01100000
11100010
Se ignora el acarreo 1 01000010

-a-c= -a + (-c)
10100000
11011000
Se ignora el acarreo 1 01111000
BS
El bit de signo (BS) del resultado es distinto del de los dos nmeros a sumar. El resultado
no se puede representar con N= 8 bits y se dice que ha ocurrido un desbordamiento.

1.3.2. Formatos habituales de representacin en coma flotante

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg 12
.

El bit de signo (BS) del resultado es distinto del de los dos nmeros a
sumar. El resultado no se puede representar con N= 8 bits y se dice
que ha ocurrido un desbordamiento.
1.3.2. Formatos habituales de representacin en coma flotante
La representacin en formato de coma flotante permite un rango de
representacin de nmeros racionales mayor que el de coma fija, y
permite la representacin de nmeros con parte fraccionaria no nula.
Cualquier nmero puede representarse en cualquier sistema de
numeracin mediante la notacin cientfica como:

N = (s) mb be (s)= signo; mb = mantisa; b = base; e = exponente


Ejemplo: la notacin cientfica de 17.8210 ser:
17.8210 = 1782 10-210 = 1.782 1010 = 0.1782 10210
En el formato de coma flotante unos bit estn dedicados:

A representar la mantisa
Otros al exponente
Un bit de signo (0 para positivo y 1 para el signo negativo)

Existe un formato de coma flotante al que se denomina de simple


precisin, y de doble precisin.

FORMATO EN COMA FLOTANTE IEEE 754

N(b = s m(b bE
N(b: nmero en base b; s: signo; m(b: mantisa en base b
bE: base elevada al exponente

La mantisa aparece como parte fraccionaria normalizada


110.01(2 = 1.10011010 (2 = 1.100122
EL exponente se representa en exceso de 2e-1-1 y no se representa
tal cual: se utiliza la caracterstica (c) que se obtiene sumando al
exponente el valor C = 2e-1 -1
c=C+E
N = (-1) s 1.m 2c-C

Se almacena el valor formado por

FORMATOS IEEE 754: 32, 64 y 80 bits.

FORMATO

ns

nm

nc

Simple precisin

32

23

127

Doble precisin

64

52

11

1023

Alta precisin

80

64

15

16383

Ejemplo: 101110,0101011101000011111000011111000100112
convertir al estndar IEEE 754 con precisin simple.
Normalizarlo,
1,011100101011101000011111000011111000100112 x 25
El exponente (Exceso a 2n-1-1) ser:
510 + (28-1 - 1)10 = 510 + (27 - 1)10 = 510 + (128 - 1)10 = 13210 =
10000100EX. a127

En la mantisa se cogen los bits 23 bits ms significativos:


1,0111001010111000000111
cuando la mantisa se normaliza situando la coma decimal a la derecha
del bit ms significativo, dicho bit siempre vale 1 y se llama bit
implcito.
01110010101110100001111
Por tanto el nmero ser:

En este caso, los nmeros no son exactamente iguales, ya que, con


precisin simple no se han podido representar todos los bits de la
mantisa.

El nmero 3E400000CFL del estndar IEEE 754 convertir a decimal.

1) Convertir 3E400000(16 a base 2:


2) Obtener los bits del signo, de la mantisa y del exponente:

3) Pasar el exponente a base 10:


011111002 - (28-1 - 1)10 = 12410 - (27 - 1)10 = 12410 - (128 - 1)10 =
12410 - 12710 = -3
4) Escribir el nmero en notacin cientfica.
bit implcito (1), seguido de la coma decimal (,) y de los bits de la
mantisa (10000000000000000000000). Por tanto: 1,1(2 x 2-3
5) Expresar el nmero en base 10.
1,1(2 x (2)-3 = ( ( 20 + 2-1) x 2-3 )10 = ( ( 1 + 0,5) x 0,125 )10 = ( 1,5 x
0,125 )10 = 0,187510

CASOS ESPECIALES. EJEMPLO 32 bits:


Signo

Exponente

Mantisa

Caracterstica

0000 0000

m0

Denormalizado

0000 0000

000 0000 0000 0000 0000 0000

Cero

1111 1111

000 0000 0000 0000 0000 0000

1111 1111

000 0000 0000 0000 0000 0000

1111 1111

m0

Indeterminado

REPRESENTACIN DE NMEROS REALES FORMATO (CFL)


Valor de c

Valor de m

Valor del nmero

Caracterstica

Mximo

m0

No es un nmero

Indeterminado

(1111 1111)

m=0

(-1) s

Cero

m0

(-1) s 0.m 21-C

Denormalizado

(0000 0000)

m=0

(-1) s 0

Cero

Otro

Cualquiera

(-1) s 1.m 2c-C

Nmero normal

REDONDEO COMA FLOTANTE IEEE 754


Ejemplo: mantisa de datos de 5 bits formato IEEE 754

Resultado en la ALU

Accin

Mantisa redondeada

1.01101 00

Truncar

1.01101

1.01100 00

Truncar

1.01100

1.01101 01

Truncar

1.01101

1.01100 01

Truncar

1.01100

1.01101 10

Sumar 0.00001

1.01110

1.01101 11

Sumar 0.00001

1.01110

1.01100 11

Sumar 0.00001

1.01101

VALORES LMITE COMA FLOTANTE IEEE 754

ns= 1, nm = 23, nc = 8 y C=127

Nmero

Signo

Exponente

Mantisa

Infinito

1111 1111

000 0000 0000 0000 0000 0000

Nmero mayor N(max)

1111 1110

111 1111 1111 1111 1111 1111

Nmero menor normalizado


N(min, nor)

0000 0001

000 0000 0000 0000 0000 0000

Nmero menor denormalizado


N(min, den)

0000 0000

000 0000 0000 0000 0000 0001

Cero

0000 0000

000 0000 0000 0000 0000 0000

VALORES LMITE COMA FLOTANTE IEEE 754

VALOR MAYOR N(max)

MAYOR VALOR ABSOLUTO DISTINTO DE INFINITO:


MANTISA MAYOR: m(max) = 0.1111=10.0001=
= 1 2-nm = 1 2-23 = 0.99999988
M(max) = 1 + m(max) = 1.99999988
EXPONENTE MAYOR: E(max) = c(max) C =
= 11111110 01111111 = 01111111 = 127
NMERO MAYOR: N(max) = M(max) 2E(max) =
= 1.99999988 2127 = 3.4028234661038

VALORES LMITE COMA FLOTANTE IEEE 754


VALOR MENOR N(min) NORMALIZADO
MENOR VALOR ABSOLUTO DISTINTO DE CERO NORMALIZADO:
MANTISA MENOR NORMALIZADA:
m(min) = 0.00000 = 0
M(min) = 1 + m(min) = 1 + 0 = 1
EXPONENTE MENOR NORMALIZADO:
E(min) = 00000001 01111111 = 1 127 = -126
NMERO MENOR NORMALIZADO:
N(min,nor) = M(min) 2E(min) =
= 1 2-126 = 1.17510-38

VALORES LMITE COMA FLOTANTE IEEE 754

VALOR MENOR N(min) DENORMALIZADO


MENOR VALOR ABSOLUTO DISTINTO DE CERO DENORMALIZADO:
MANTISA MENOR DENORMALIZADA:
m(min) = 0.00001 = 2-23
EXPONENTE MENOR DENORMALIZADO:
E(den) = -126
NMERO MENOR DENORMALIZADO:
N(min,den) = M(min) 2E(den) =
= 2-23 2-126 = 1.40110-45

32-bit Single Precision

VALORES LMITE COMA FLOTANTE IEEE 754

N<0
desbordamiento

N>0
agotamiento

desbordamiento

+
-N(max)

-N(min,den) 0 N(min,den)

N(max)

LMITES DE LOS NMEROS REALES REPRESENTABLES EN IEEE 754


AGOTAMIENTO: [-N(min,den), N(min,den)] SALVO EL 0
TAMBIN SE CONOCE COMO UNDERFLOW
http://www.etsimo.uniovi.es/~antonio/uned/ieee754/IEEE-754.html

VALORES COMA FLOTANTE IEEE 754

32-bit Single Precision

Sign (s)
1 [31]

Range Name

Exponent (e)
8 [30-23]

Mantissa (m)
23 [22-0]
11..11
:
10..01
10..00
01..11
:
00..01

Hexadecimal Range

Decimal Range

Range

FFFFFFFF
:
FFC00001
FFC00000
FFBFFFFF
:
FF800001

Quiet
-NaN

11..11

Indeterminate

11..11

Signaling
-NaN

11..11

-Infinity
(Negative Overflow)

11..11

00..00

FF800000

< -(2-2-23) 2127

-3.4028235677973365E+38

Negative Normalized
-1.m 2(e-127)

11..10
:
00..01

11..11
:
00..00

FF7FFFFF
:
80800000

-(2-2-23) 2127
:
-2-126

-3.4028234663852886E+38
:
-1.1754943508222875E-38

807FFFFF
:
80000001

-(1-2-23) 2-126
:
-2-149
(-(1+2-52) 2-150) *

-1.1754942106924411E-38
:
-1.4012984643248170E-45
(-7.0064923216240862E-46) *

-2-150
:
< -0
-0
0
>0
:
2-150

-7.0064923216240861E-46
:
< -0
-0
0
>0
:
7.0064923216240861E-46

Negative Denormalized
-0.m 2(-126)

00..00

11..11
:
00..01

Negative Underflow

00..00

00..00

80000000

-0
+0

1
0

00..00
00..00

00..00
00..00

80000000
00000000

Positive Underflow

00..00

00..00

00000000

00000001
:
007FFFFF

((1+2-52) 2-150) *
2-149
:
(1-2-23) 2-126

(7.0064923216240862E-46) *
1.4012984643248170E-45
:
1.1754942106924411E-38

Positive Denormalized
0.m 2(-126)

00..00

00..01
:
11..11

Positive Normalized
1.m 2(e-127)

00..01
:
11..10

00..00
:
11..11

00800000
:
7F7FFFFF

2-126
:
(2-2-23) 2127

1.1754943508222875E-38
:
3.4028234663852886E+38

+Infinity
(Positive Overflow)

11..11

00..00

7F800000

> (2-2-23) 2127

3.4028235677973365E+38

Signaling
+NaN

11..11

Quiet
+NaN

11..11

00..01
:
01..11
10..00
:
11..11

7F800001
:
7FBFFFFF
7FC00000
:
7FFFFFFF

Estructura y Tecnologa de Computadores

Representacin de la informacin en las mquinas

1.4. REPRESENTACIN DE DATOS ALFANUMRICOS EN LOS SISTEMAS


DIGITALES
Las mquinas deben poder representar caracteres alfabticos, smbolos especiales y
caracteres de control, adems de nmeros. De aqu la necesidad de los cdigos alfanumricos
que asocien a cada uno de los datos a representar una combinacin binaria.
Estos cdigos son utilizados por los ordenadores para transmitir informacin as como para
enviar rdenes entre dispositivos.
El conjunto de caracteres utilizado por estos cdigos es muy variado, siendo stos:
-Las 10 cifras del sistema decimal (del 0 al 9).
-Las letras del alfabeto (maysculas y minsculas)
-Los signos de puntuacin (., :, ;, +, *, )
-Los caracteres de control (rdenes entre elementos del sistema.)
Se denomina longitud de un cdigo binario, al nmeros de bits que utiliza para codificar un
carcter.
En estos cdigos alfanumricos el nmero mximo de caracteres que se pueden
representar es igual a la potencia de 2 elevado a la longitud de dicho cdigo. Se suele
representar cada carcter por medio de 8 bits, con lo cual todo tipo de informacin se puede
representar utilizando cadenas de caracteres, que en definitiva, sern cadenas de bytes.
Algunos de estos cdigos son los siguientes
FIELDATA
EBCDIC
ASCII

6 bits
8 bits
7 bits + 1 bit paridad

Una secuencia de bits, puede interpretarse como una instruccin, nmero en coma fija,
nmero en coma flotante, dato alfanumrico dependiendo de la instruccin que la mquina
se encuentre ejecutando, y de la arquitectura de sta.
En las tablas 1.3, 1.4, 1.5 se muestran los cuadros de los cdigos alfanumricos antes
mencionados, donde se van a representar con sus caracteres.

Bits
210

543
000

001

010

011

100

101

110

111

000
001
010
011
100
101
110
111

@
[
]
#
^
SP
A
B

C
D
E
F
G
H
I
J

K
L
M
N
O
P
Q
R

S
T
U
V
W
X
Y
Z

)
+
<
=
>
&
$

*
(
%
:
?
!

0
1
2
3
4
5
6
7

8
9
,
;
/
.

Tabla 1.3 . Cdigo FIELDATA de 6 bits.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg 13
.

Estructura y Tecnologa de Computadores

Representacin de la informacin en las mquinas

bits
3210

654
000

001

010

011

100

101

110

111

0000
0001
0010
0011
0100
0101
0110
0111
1000
1001
1010
1011
1100
1101
1110
1111

NUL
SOH
STX
ETX
EOT
ENQ
ACK
BEL
BS
HT
LF
VT
FF
CR
SO
SI

DEL
DC1
DC2
DC3
DC4
NAK
SYN
ETB
CAN
EM
SUB
ESC
FS
GS
RS
US

SP
!

#
$
%
&

(
)
]
+
,
.
/

0
1
2
3
4
5
6
7
8
9
:
;
<
=
>
?

@
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O

P
Q
R
S
T
U
V
W
X
Y
Z
[
\
]
^

`
a
b
c
d
e
f
g
h
i
j
k
l
m
n
o

p
q
r
s
t
u
v
w
x
y
z
{
}
DEL

Tabla 1.4. Cdigo ASCII de 7 bits

Bits
3210

7654
0000

0000
0001
0010
0011
0100
0101
0110
0111
1000
1001
1010
1011
1100
1101
1110
1111

NUL
SOH
STX
ETX
PF
HT
LC
DEL
RLF
SMM
VT
FF
CR
SO
SI

0001 0010
DEL
DC1
DC2
DC3
RES
NL
BS
IL
CAN
EM
CC

DS
SOS
FS

0011 0100 0101 0110 0111 1000 1001 1010 1011 1100 1101
SP

&

SYN

BYP PN
LF
RS
EOB UC
ESC EOT

SM

IFS
DC4
IGS ENQ NAK
IRS ACK
IUS BEL SUB

.
<
(
+

!
$
*
)
;

,
%

>

\
:
#
@

a
b
c
d
e
f
g
h
i

j
k
l
m
n
o
p
q
r

~
s
t
u
v
w
x
y
z

{
A
B
C
D
E
F
G
H
I

}
J
K
L
M
N
O
P
Q
R

1110

1111

0
1
2
3
4
5
6
7
8
9

S
T
U
V
W
X
Y
Z

Tabla 1.5. Cdigo EBCDIC de 8 bits

1.5. DETECCIN Y CORRECCIN DE ERRORES

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg 14
.

Estructura y Tecnologa de Computadores

Representacin de la informacin en las mquinas

La informacin digital transmitida entre dos puntos puede corromperse a lo largo del
proceso de transmisin como consecuencia de perturbaciones electromagnticas. Esta
perturbacin se denomina interferencia electromagntica no deseada (ruido).

Tx

MEDIO

Rx

RUIDO
Para detectar este tipo de errores producidos a lo largo del proceso de transmisin, a cada
smbolo o conjunto de smbolos se le aade una serie de bits. Estos bits no son portadores de
informacin (redundancia) pero en cambio permiten detectar, y algunas veces corregir, errores.
A continuacin se definen algunos parmetros que resultan tiles para estudiar estas tcnicas
de proteccin frente a los mencionados errores.

Eficiencia de un cdigo (

Se define como el cociente entre el nmero de smbolos que se representan realmente, m,


dividido por el numero, m, de smbolos que en total podran representarse. En el caso de
cdigos binarios m=2 n y la expresin para la eficiencia es igual a:
=

m
m

m
2n
1

Siendo n el nmero de bits que le corresponde a cada smbolo.

Redundancia de un cdigo (R)


Es la propiedad que tiene un cdigo de no aprovechar todas las combinaciones posibles.

Un cdigo que es poco eficiente se dice que es redundante, definindose la redundancia


como:
R= (1-

) 100%

Expresndose en este caso en tanto por ciento.

Distancia entre dos combinaciones binarias

Es el nmero de bits que hay que modificar en una de las combinaciones binarias para
conseguir la otra.
Ejemplo: la distancia entre los smbolos {2} y {6} codificados en BCD es 1:
2
6

0010
0110

Distancia de un cdigo binario (d)


Es la menor de las distancias entre dos combinaciones cualesquiera de dicho cdigo.

Para que un cdigo pueda detectar errores su distancia tiene que ser superior a la unidad.
En los cdigos con distancia 1 los errores en un bit a lo largo de la transmisin producen otra
combinacin vlida sin que se pueda detectar el error en cuestin.
La distancia de un cdigo esta vinculada al nmero de errores capaz de detectar. As, un
cdigo con distancia d puede detectar hasta d-1 errores.
G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg 15
.

Estructura y Tecnologa de Computadores

Representacin de la informacin en las mquinas

Los cdigos correctores adems de poder detectar errores pueden tambin corregirlos,
pero en algunos casos con el inconveniente de necesitar mayor nmero de bits que los
anteriores para codificar los smbolos. As un cdigo con distancia d puede corregir hasta un
nmero de errores dado por la parte entera del cociente d 1 .
2

Las redundancias se introducen de acuerdo con algn algoritmo predeterminado; de esta


manera, los cdigos pueden ser verificados por los circuitos receptores.

Cdigos de paridad

Son cdigos detectores de errores cuya distancia es 2 y por lo tanto pueden detectar
errores de un bit, nicamente. Dichos cdigos aaden informacin transmitida un bit que no es
portador de informacin (redundancia), el cual se introduce deliberadamente para poder
detectar posibles errores en la transmisin o grabacin de informacin.
Uno de estos algoritmos aade al cdigo inicial de cada carcter un nuevo bit denominado
bit de paridad, existiendo dos criterios para introducir este bit:
-Paridad par: se aade un bit 0 1 de forma tal que el nmero total de unos del cdigo
que resulte sea par. ste es el criterio ms utilizado.
-Paridad impar: se aade un bit 0 1 de forma tal que el nmero total de unos del
cdigo que resulte sea impar.
Debido al ruido o interferencias electromagnticas no deseadas en la transmisin de la
seal puede ocurrir, eventualmente, el cambio de un bit (de 1 a 0 o viceversa), por lo que se
comprueba la paridad de la informacin en el receptor. Al haber convenido que el nmero de
unos sea par o impar, dependiendo del tipo de paridad, se detectara el error.
Ejemplo: BCD exceso a 3 con paridad par (se destaca en negrita el bit de paridad
aadido):
0011
0100
0101
0110
0111

00110
01001
01010
01100
01111

1000
1001
1010
1011
1100

10001
10010
10100
10111
11000

Supongamos que se transmite el smbolo 3, y que se produce un error en la transmisin


de un bit (subrayado).
01100

01110

Tx

Rx
Ruido

En el receptor se comprueba que el nmero de unos es impar con lo que ha habido un


error en la transmisin. Si se produce un error durante la transmisin se puede detectar, pero si
se producen dos errores no se detecta nada.
En el ejemplo anterior, ocurre que aun detectando el error no sabemos cul es el bit
anmalo. Por ello, existen cdigos que permiten detectar y corregir errores. Entre stos cabe
destacar la tcnica de doble paridad que posibilita detectar y corregir errores, explicada a
continuacin por medio de un ejemplo.
Ejemplo: se desea transmitir los siguientes smbolos codificados: 0001, 0010, 0110, 0111.
Supngase que se ha producido un error en la transmisin de 1 bit ( destacado por sombra en
la figura)

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg 16
.

Estructura y Tecnologa de Computadores

Representacin de la informacin en las mquinas

Para detectar este error basta con aplicar el criterio de paridad par o impar a los bits de la
informacin transmitida tanto horizontal como verticalmente. De esta forma, si se elige el
criterio de paridad par, quiere decir que el resultado de la suma de unos, tanto en cada fila
como en cada columna, ha de ser par. A este fin aaden unos o ceros segn el criterio elegido
(en este caso, paridad par). As, en el receptor se comprobar que la paridad de la fila y de la
columna correspondiente al bit anmalo no es par. De este modo puede corregirse el error.

BPV

BPH
0001
0010
0110
0111
0010

1
1
0
1
1

RUIDO

Tx

0001
0110
0110
0111
0010

1
1
0
1
1
Rx

Fig.1.3. Tcnica de doble paridad

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg 17
.

Electrnica Digital
TEMA 2: FAMILIAS LGICAS

TEMA 2. FAMILIAS LGICAS


2.1 Introduccin
2.2 Caractersticas de operacin estticas
2.3 Caractersticas de operacin dinmicas
2.4 Caractersticas tcnicas Familias Lgicas
2.4.1 Dispositivos lgicos
2.4.2 Hojas de caracteristicas 7400
2.5 Casos prcticos

D. Lpez Talavera; J. de la Casa Higueras

TEMA 2. FAMILIAS LGICAS


Objetivos:
Conocer las familias lgicas ms utilizadas en
la actualidad
Valorar y comparar las caractersticas tcnicas
Anlisis de hojas de caractersticas tcnicas

D. Lpez Talavera; J. de la Casa Higueras

Familias Lgicas
2.1 Introduccin
Hay muchas familias lgicas de circuitos integrados digitales
que han sido introducidos comercialmente, las ms populares
son:
TTL: Lgicas de transistores (Transistor-transistor logic)
ECL: Lgica de acoplamiento de emisor (emitter-coupled
logic)
MOS: Semiconductor de xido de metal (Metal-oxide
semiconductor)
CMOS: Semiconductor de oxido de metal complementario
(Complementary metal-oxide semiconductor)
I2L: Lgica de inyeccin integrada (Integrated-injection
logic)

D. Lpez Talavera; J. de la Casa Higueras

Familias Lgicas
2.1 Introduccin
Tecnologa

Serie
TTL estndar
TTL de baja potencia
TTL shoottky

Familia de circuitos
lgicos integrados con
transistores bipolares

TTL

TTL shoottky de baja


potencia
TTL shoottky
avanzada

Aplicaciones que
requieren alta
velocidad.

ECL
CMOS estndar
Familia de circuitos
lgicos integrados con
transistores MOSFET

Amplia gama de
funciones digitales y
es comnmente la
familia lgica ms
popular.

CMOS

CMOS HC
CMOS HCT

NMOS

Circuitos que
necesitan alta densidad
de componentes.
Aplicaciones que
requieren bajo
consumo (CMOS)

PMOS
BiCMOS Combina transistores bipolares con transistores MOSFET
D. Lpez Talavera; J. de la Casa Higueras

Evolucin Familias Lgicas


2.1 Introduccin
Leyenda:
TTL. Lgica de transistor-transistor.
S. TTL con circuitos Schottky.
LS. TTL con Schottky y bajo consumo.
AS. TTL con Schottky mejorada.
ALS. Versin mejorada de LS.
F. TTL de alta velocidad.
4000. Serie 4000 de CMOS.
HC. CMOS de alta velocidad.
ABT. Tecnologa BiCMOS avanzada.
LVT. Tecnologa BiCMOS de baja tensin.
LV. Baja tensin.
LVC. CMOS de baja tensin.
ALVC. CMOS de baja tensin mejorada.
ALVT. Tecnologa BiCMOS de baja tensin
mejorada
AHC. CMOS de alta velocidad mejorada.
AVC. CMOS de muy baja tensin mejorada.

D. Lpez Talavera; J. de la Casa Higueras

Familias Lgicas
2.1 Introduccin

Las caractersticas estticas: afectan al


rgimen permanente de funcionamiento de
los circuitos (las entradas permanecen en un
valor estable)
Las caractersticas dinmicas afectan al
rgimen transitorio (cuando se producen
cambios en las entradas del circuito)
D. Lpez Talavera; J. de la Casa Higueras

Familias Lgicas
2.2 Caractersticas de operacin estticas

La entrada de una puerta lgica puesta


a 0 entrega corriente (IIL>0).
Si est a 1 absorbe corriente (IIH<0)

La salida de una puerta a 0 absorbe


corriente (IOL<0).
Si est a 1 entrega corriente (IOH>0)

D. Lpez Talavera; J. de la Casa Higueras

Familias Lgicas
2.2 Caractersticas de operacin estticas
Corrientes: definiciones

D. Lpez Talavera; J. de la Casa Higueras

Familias Lgicas
2.2 Caractersticas de operacin estticas
Entrada:
VILmin : Tensin mnima en la entrada para un nivel bajo (Low)
VILmax : Tensin mxima en la entrada para un nivel bajo (Low)
VIHmin : Tensin mnima en la entrada para un nivel alto (High)
VIHmax : Tensin mxima en la entrada para un nivel alto (High)

Salida:
VOLmin : Tensin mnima en la salida para un nivel bajo (Low)
VOLmax : Tensin mxima en la salida para un nivel bajo (Low)
VOHmin : Tensin mnima en la salida para un nivel alto (High)
VOHmax : Tensin mxima en la salida para un nivel alto (High)

D. Lpez Talavera; J. de la Casa Higueras

10

Familias Lgicas
2.2 Caractersticas de operacin estticas
Los niveles lgicos 0 y 1 no corresponden
nicamente a los niveles de tensin de 0V y 5V,
respectivamente.
Se corresponden a unos niveles o rangos de tensin
comprendidos para la entrada entre VILmin y VILmax para
el nivel 0 y entre VIHmin y VIHmax para el nivel 1.
Los valores equivalentes para las salidas se denominan:
VOLmin y VOLmax para el nivel 0 y entre VOHmin y VOHmax para
el nivel 1, respectivamente.

D. Lpez Talavera; J. de la Casa Higueras

11

Familias Lgicas
2.2 Caractersticas de operacin estticas
Niveles lgicos: definiciones
Margen de cero.
Margen de uno.
Margen de transicin.

D. Lpez Talavera; J. de la Casa Higueras

12

Familias Lgicas
2.2 Caractersticas de operacin estticas
FAMILIAS LGICAS DE BAJA TENSIN (LOW VOLTAGE LOGIC FAMILIES)
Comparacin frente a familias clsicas de los niveles lgicos de E/S

Vol max

Vil max

Vih min

Voh min

VCC

Vcc=5.0V

Vcc=5.0V

Voh=4.9V

Vcc=3.3 V

Vcc=3.3 V
Voh=3.1V

Vih=3.5V

Voh=2.4V
Vih=2.0 V
Vih=2.0V
Vil=0.8V

Vil=0.8V

Vol=0.2V

Vol=0.4V

LV,LVC,ALVC
CMOS 3.3 V

LVT

Vil=1.0V
Vol=0.1V

TTL

D. Lpez Talavera; J. de la Casa Higueras

CMOS 5.V
13

Familias Lgicas
2.2 Caractersticas de operacin estticas
RUIDO: cualquier perturbacin involuntaria que puede originar un

cambio no deseado en la salida del circuito.


El ruido puede generarse externamente por:
Presencia de escobillas en motores o interruptores
Acoplo por conexiones o lneas de tensin cercanas
Picos de la corriente de alimentacin.

Inmunidad al ruido: capacidad


para tolerar fluctuaciones en la
tensin no deseadas en sus
entradas sin que cambie el
estado de salida.

D. Lpez Talavera; J. de la Casa Higueras

14

Familias Lgicas
2.2 Caractersticas de operacin estticas
Inmunidad al ruido. Margen de ruido

QUE FAMILIA UTILIZARIAS PARA TRABAJAR EN UN AMBIENTE RUIDOSO?


VIHMIN

VIHMAX

VILMIN

V ILMAX

VOHMIN

V OHMAX

VOLMIN

VOLMAX

1.8

2.5

12

12

1.5

D. Lpez Talavera; J. de la Casa Higueras

15

Familias Lgicas
2.2 Caractersticas de operacin estticas
Fan-out o cargabilidad de la puerta:
Lmite para el nmero de entradas que una puerta puede excitar.

Problemas si se supera el fan-out:


Si se conecta un nmero excesivo de puertas de carga, la tensin VOH
puede caer por debajo de su valor mnimo VOH mn.
Adems al aumentar la corriente de fuente,
aumenta la disipacin de potencia.

D. Lpez Talavera; J. de la Casa Higueras

16

Familias Lgicas
2.2 Caractersticas de operacin estticas
Formas de expresar el Fan-out
Para un correcto funcionamiento
siempre tendr que cumplirse:
Respecto a la misma familia
se escoge el valor menor
mx

mx

Respecto a la unidad de carga (TTL STANDARD)

D. Lpez Talavera; J. de la Casa Higueras

17

Familias Lgicas
2.2 Caractersticas de operacin estticas
Disipacin de potencia
DEFINICIONES:

ICCH= Consumo de una puerta lgica cuando tiene un 1 lgico a la salida


ICCL= Consumo de una puerta lgica cuando tiene un 0 lgico a la salida
La disipacin de potencia media de una puerta lgica
se calcula efectuando la media aritmtica.

Importante:
Los clculos se realizan en vaco, sin ninguna carga conectada.
D. Lpez Talavera; J. de la Casa Higueras

18

Familias Lgicas
2.3 Caractersticas de operacin dinmicas
Retardos tiempos de propagacin.

TPLH : Tiempo entre un determinado punto del pulso de entrada (50% del
flanco) y el correspondiente punto (50% del flanco) del impulso de salida
cuando la salida cambia de nivel bajo a nivel alto.

TPHL : Igual pero cuando la salida cambia de nivel alto a nivel bajo.
TPLH <> TPHl
Aproximaciones:
Tiempo de propagacin medio

TPD
Retardos en un puerta no inversora (p.e. funcin OR)

TPHL

TPLH
2

D. Lpez Talavera; J. de la Casa Higueras

19

Familias Lgicas
2.3 Caractersticas de operacin dinmicas
Producto: Tpd x Potencia disipada
La velocidad de un circuito junto con la disipacin de potencia se convierten en
los factores determinantes de la calidad de una familia lgica.
Para facilitar la comparacin de unas familias lgicas con otras, estas dos caractersticas

se suelen dar unidas en un producto entre ambas expresado en pJ

(picoJulios).

El avance de la tecnologa se enfoca en minimizar este producto.


Serie

Tipo de transistor

Retardo de
propagacin (ns)

Disipacin de
potencia (mW)

Producto velocidadpotencia, pJ

54LS/74LS

Schottky, baja
potencia

9.5

19

54L/74L

Comn, baja potencia

33

33

54S/74S

Schottky, potencia
normal

19

57

54/74

Comn, potencia
normal

10

10

100

54H/74H

Comn, alta potencia

22

132

D. Lpez Talavera; J. de la Casa Higueras

20

Familias Lgicas
2.4 Caractersticas tcnicas familias lgicas

Caractersticas de operacin familia TTL

D. Lpez Talavera; J. de la Casa Higueras

21

Familias Lgicas
2.4 Caractersticas tcnicas familias lgicas
Comparacin de los principales parmetros de funcionamiento
de varias familias de circuitos integrados 74XX
CMOS 3,3 V

TTL

CMOS 5 V

Caractersticas

LS

ALS

LV

LVC

ALVC

Retardo de Propagacin de puerta, tp (ns)

3,3

10

4,3

3,7

Frecuencia mxima de reloj (MHz)

145

33

45

90

100

150

50

160

170

Disipacin de potencia/puerta: Bipolar (mW)

2.2

1.4
2.75

0.55

2.75

1.6

0.8

0.8

12

24

24

24

CMOS (W)

Excitacin de salida IOL(mA)

20

D. Lpez Talavera; J. de la Casa Higueras

HC AC AHC

22

Familias Lgicas
2.4.1 Dispositivos lgicos
Fundamentos TRANSISTOR MOS
(Metal Oxido Semiconductor)
METAL (Polisilicio)
gate
drenador

OXIDO de Silicio (aislante)


source

DRENADOR y FUENTE
Altamente dopado
SUBSTRATO
Dopado dbil

SUBSTRATO

L= 2

En funcin del tipo de dopado:

Canal N

Canal P

Vgs > Vt

Vgs <Vt

D. Lpez Talavera; J. de la Casa Higueras

23

Familias Lgicas
2.4.1 Dispositivos lgicos
VDD

Funciones lgicas CMOS


VDD

Va
Va

Vb

Vout = Va Vb

Vout = Va+Vb

Vb

Va
Vb

FUNCIN NAND

FUNCIN NOR
D. Lpez Talavera; J. de la Casa Higueras

24

Familias Lgicas
2.4.1 Dispositivos lgicas
Funciones lgicas con tecnologa TTL estndar (NAND)

D. Lpez Talavera; J. de la Casa Higueras

25

Familias Lgicas
2.4.2 Hojas de caractersticas tcnicas familias lgicas

D. Lpez Talavera; J. de la Casa Higueras

26

Familias Lgicas
2.4 Caractersticas tcnicas familias lgicas

D. Lpez Talavera; J. de la Casa Higueras

27

Familias Lgicas
2.4 Caractersticas tcnicas familias lgicas

D. Lpez Talavera; J. de la Casa Higueras

28

Familias Lgicas
2.5 Casos prcticos
Ejercicio 1:

D. Lpez Talavera; J. de la Casa Higueras

29

Familias Lgicas
2.5 Casos prcticos
Ejercicio 2:
Por una puerta circulan 1.5 mA cuando su salida est a nivel
alto y 2.8 mA cuando est a nivel bajo. Calcular su
disipacin de potencia media si VCC es 5V. La puerta
funciona con un ciclo de trabajo del 50%.

D. Lpez Talavera; J. de la Casa Higueras

30

Familias Lgicas
2.5 Casos prcticos
Ejercicio 3:
Utilizando la hoja de caractersticas del 7400 determinar
el fan-out de una puerta NAND.
De la hoja de caractersticas se obtienen los valores:
IIH(max) = 40 uA
IIL(max) = -1.6 mA
IOH(max) = -0.4 mA
IOL(max) = 16 mA

D. Lpez Talavera; J. de la Casa Higueras

31

Familias Lgicas
2.5 Casos prcticos

D. Lpez Talavera; J. de la Casa Higueras

32

Familias Lgicas
2.5 Casos prcticos

D. Lpez Talavera; J. de la Casa Higueras

33

Familias Lgicas
2.5 Casos prcticos
Ejercicio 4:

D. Lpez Talavera; J. de la Casa Higueras

34

Estructura y Tecnologa de Computadores

lgebra de Boole y los circuitos combinacionales

CAPTULO 3
SISTEMAS DIGITALES
COMBINACIONALES (I)

2.1. INTRODUCCIN
Las magnitudes presentes en el mundo fsico (temperatura, irradiancia, fuerza, longitud,
etc) son de naturaleza tal que la medida de las mismas puede variar, en principio, de manera
continua entre dos valores cualesquiera. As, la variacin con el tiempo de estas magnitudes
puede ser representada mediante seales (o funciones) analgicas. Existen sistemas que
procesan este tipo de seales, llamados sistemas analgicos.
Por el contrario, los sistemas digitales procesan seales discretas, las cuales nicamente
pueden tomar en un instante un valor perteneciente a un conjunto finito de stos. El caso ms
comn es que estas seales sean binarias: en un momento dado pueden tomar un valor u otro,
nicamente. Cada uno de estos valores se suele simbolizar por los estados 1 0 (verdadero o
falso) y fsicamente se corresponden con dos niveles definidos de tensin o corriente. La
fiabilidad del procesamiento de este tipo de seales hace que se minimice la introduccin de
errores en su tratamiento, en comparacin con el procesamiento de las seales analgicas.
El computador, acaso el sistema digital ms conocido, procesa las seales binarias
mediante un nmero muy elevado de interruptores o conmutadores elementales llamados
puertas lgicas, interconectados entre s. El anlisis y la sntesis de estos sistemas hacen uso
de la llamada lgebra de Boole, similar al lgebra ordinaria pero basada en dos estados
posibles (verdadero-falso, 1-0, tensin ms positiva- tensin menos positiva, etc.). El lgebra
de Boole est formada, como el lgebra convencional, por variables lgicas, operadores
lgicos y un conjunto de leyes que rigen ciertas combinaciones de los elementos anteriores.
Las variables lgicas pueden tomar solamente los valores verdadero o falso representados
de manera simblica por 1 y 0, respectivamente. Fsicamente, dichos estados lgicos se
asocian a niveles de tensin, dando lugar a la lgica positiva o negativa, segn el criterio que
se adopte para establecer dicha correspondencia.
Estados lgicos
0 Falso
1 Verdadero

Niveles de tensin
Menos positivo
Ms positivo

Lgica Positiva

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Menos negativo
Ms negativo

Lgica Negativa

Pg.1

Estructura y Tecnologa de Computadores

lgebra de Boole y los circuitos combinacionales

2.2. FUNCIONES LGICAS


Una funcin lgica, o funcin de conmutacin de un lgebra de Boole es una funcin que
asocia un valor binario (esto es, 1 o 0) a una combinacin de variables binarias de entrada.
Dichas variables se notan con las letras del abecedario, una funcin lgica puede
representarse como f = f (A, B, C,)
Donde el valor lgico de F depende del de las variables A, B, C,...

2.2.1. Tabla de verdad de una funcin lgica


La tabla de verdad de una funcin lgica f = f(A, B, C,...) es una forma de representacin
de la misma, indicando el valor que toma la funcin para cada una de las combinaciones de
n
valores de las variables binarias de dicha funcin. Para n variables existen 2 combinaciones
posibles de dichas variables.

Ejemplos de tablas de verdad, para 1, 2, 3 y 4 variables:


A f
0 1
1 0

A
0
0
1
1

B
0
1
0
1

f
0
0
0
0

A
0
0
0
0
1
1
1
1

BC
0 0
0 1
1 0
1 1
0 0
0 1
1 0
1 1

f
0
0
0
0
1
1
1
1

A
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

B
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

C
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

D
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

f
0
0
0
1
1
1
1
1
1
0
1
0
0
0
1
1

2.2.2. Puertas lgicas o funciones lgicas bsicas


La puerta lgica es un pequeo circuito, por lo general fsicamente implementado en un
circuito integrado y que responde a una funcin lgica bsica. El circuito integrado est
formado por un conjunto de dispositivos tales como resistencias, diodos, transistores, etc.
integrados en un mismo sustrato de silicio.
Esta puerta lgica posee unas entradas que son las variables de la funcin y una salida
que se corresponde con dicha funcin lgica. Dentro de estas puertas las variables de entrada
estn relacionadas por medio de los operadores lgicos.
Si se establece una analoga elctrica, el 1 lgico corresponde a un interruptor cerrado,
permitiendo el paso de la corriente, y el 0 a un interruptor abierto, impidiendo el paso de la
corriente. Dicha analoga es vlida si se utiliza la lgica positiva.
A continuacin se describen las funciones lgicas bsicas y su tabla de verdad, sus
smbolos normalizados de representacin y su equivalente elctrico empleando interruptores.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.2

Estructura y Tecnologa de Computadores

lgebra de Boole y los circuitos combinacionales

Puerta NOT
Llamada tambin funcin NO o funcin negacin, es aquella cuya variable de salida es el
complemento de la variable de entrada, es decir, realiza la funcin de complementacin. Dado
que nicamente hay dos estados posibles, si la variable de entrada es igual a 1, la salida ser
igual a 0 y viceversa.
La figura 2.1 muestra el smbolo de la puerta que realiza esta funcin, tambin
denominada puerta inversora o NOT, su tabla de verdad, su notacin y su analoga elctrica.

Figura 2.1. Puerta NOT


Puerta AND
Llamada tambin funcin Y o funcin producto lgico, es aquella en la que su variable de
salida es 1 siempre que todas las variables de entrada tengan valor 1.
La figura 2.2 muestra el smbolo de la puerta de dos entradas que realiza esta funcin,
denominada puerta AND, su tabla de verdad, su notacin y su analoga elctrica.

Figura 2.2. Puerta AND de dos entradas


Puerta OR
Llamada tambin funcin O o funcin suma lgica, es aqulla en la que su variable de
salida es 1 siempre que al menos una de las variables de entrada tenga valor 1.
La figura 2.3 muestra el smbolo de la puerta que realiza esta funcin, denominada puerta
OR, su tabla de verdad, la funcin que la define y su analoga elctrica.

Figura 2.3. Puerta OR


Los circuitos electrnicos no realizan con facilidad las operaciones lgicas OR y AND. A
continuacin se definen dos nuevas funciones lgicas que se obtienen por la combinacin de
las puertas bsicas anteriores. Dichas nuevas funciones pueden implementarse mejor en los
circuitos integrados. Adems, con stas se puede construir cualquier funcin lgica, por
compleja que sea.
Puerta NAND
Se obtiene de la combinacin de la funcin NOT y la funcin AND, por lo que se obtiene la
negacin del producto lgico. La variable de salida es 1 siempre que al menos una de las
G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.3

Estructura y Tecnologa de Computadores

lgebra de Boole y los circuitos combinacionales

variables de entrada tenga valor 0. Su tabla de verdad se obtiene a partir de la correspondiente


a la funcin AND cambiando los 0 por 1 y viceversa.
La figura 2.4 muestra el smbolo de la puerta que realiza esta funcin, denominada puerta
NAND, su tabla de verdad y su notacin.

Figura 2.4. Puertas NAND de dos y tres entradas


Puerta NOR
Se obtiene de la combinacin de la funcin NOT y la funcin OR por lo que se obtiene la
negacin de la suma lgica. La variable de salida es 1 siempre que ninguna de las variables de
entrada tenga valor 1. Su tabla de verdad se obtiene a partir de la correspondiente a la funcin
OR cambiando los 0 por 1 y viceversa.
La figura 2.5 muestra el smbolo de la puerta que realiza esta funcin, denominada puerta
NOR, su tabla de verdad y su notacin

Figura 2.5. Puertas NOR de dos y tres entradas

Puerta XOR
Tambin conocida como funcin OR-EXCLUSIVA, este tipo de puertas genera un 1
cuando se tienen un nmero impar de unos a la entrada y genera un 0 cuando es par.
La figura 2.6 muestra el smbolo de la puerta que realiza esta funcin, denominada puerta
XOR, su tabla de verdad y su notacin.

Figura 2.6. Puertas OR-Exclusiva de dos y tres entradas


Puerta XNOR
Tambin conocida como funcin NOR-EXCLUSIVA, este tipo de puertas genera un 1
cuando se tienen un nmero par de unos a la entrada y genera un 0 cuando es impar.
G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.4

Estructura y Tecnologa de Computadores

lgebra de Boole y los circuitos combinacionales

La figura 2.7 muestra el smbolo de la puerta que realiza esta funcin, denominada puerta
XNOR, su tabla de verdad y su notacin.

Figura 2.7. Puertas NOR-Exclusiva de dos y tres entradas

2.2.3. Conjuntos funcionalmente completos


No es posible implementar cualquier funcin con la combinacin de puertas lgicas AND,
nicamente. Otro tanto cabe decir de las puertas OR. Aquel conjunto de puertas lgicas con el
que se puede implementar cualquier funcin lgica, por compleja que sta sea, recibe el
nombre de conjunto funcionalmente completo.
La combinacin de las puertas AND y NOT por un lado y la combinacin de las puertas OR
y NOT, por otro, forman conjuntos funcionalmente completos. En la figura 2.8.a se muestra un
ejemplo de como la funcin f = A + B ha sido implementada con puertas AND y NOT.
Las puertas NAND y NOR forman, cada una, conjuntos funcionalmente completos. En la
figura 2.8 b y c se pueden ver como la misma funcin ha sido implementada nicamente con
puertas NOR y con puertas NAND, respectivamente.

Figura 2.8. Implementacin de la funcin f = A + B: (a) con puertas AND


y NOT;(b) con puertas NAND; (c) con puertas NOR

2.2.4. Puertas lgicas integradas


En el mercado podemos encontrar diferentes familias de integracin de puertas lgicas
integradas, como pueden ser TTL, serie 74XX o CMOS, serie 40XX. La figura 2.9 muestra
algunos de los circuitos integrados de la familia TTL serie 74 disponibles en el mercado con la
correspondencia entre el patillaje y las entradas y salidas de las puertas lgicas que contienen.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.5

Estructura y Tecnologa de Computadores

lgebra de Boole y los circuitos combinacionales

Fig. 2.9. Algunas puertas lgicas integradas (Familia TTL serie 74XX)

2.2.5. Funciones lgicas expresadas en forma cannica


Trmino cannico de una funcin lgica. Minterms y maxterms
Se llama trmino cannico de una funcin lgica a todo producto o suma en el que
aparecen todas las variables (o sus complementos) de dicha funcin. A los trminos producto
se les llama productos cannicos o minterms y a los trminos suma se les llama sumas
cannicas o maxterms.
Forma cannica de una funcin lgica. Formas Equivalentes
Una funcin lgica se encuentra en forma cannica cuando se expresa como suma de
productos cannicos o como producto de sumas cannicas.
Dada la funcin definida por la siguiente tabla de verdad, sta se puede expresar como:
A
0
0
0
0
1
1
1
1

B
0
0
1
1
0
0
1
1

C
0
1
0
1
0
1
0
1

f
0
1
0
0
1
0
1
1

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.6

Estructura y Tecnologa de Computadores

lgebra de Boole y los circuitos combinacionales

a) Suma de minterms: para cada combinacin de variables de entrada en la que la funcin


valga 1 se asigna al 1 la variable y al 0 la variable complementada.
f A B C A B C A B C A B C
b) Producto de maxterms: para cada fila en la que la funcin valga 0, se asigna al 0 la variable
y al 1 la variable complementada.

B C

Las dos funciones son equivalentes: conducen a dos circuitos diferentes, pero definen la
misma funcin de conmutacin. En general, a la hora de elegir suma de minterms o productos
de maxterms se tomar la que genere la funcin ms simplificada, es decir, la de menor
nmero de trminos. No obstante, y como luego se ver, existen mtodos para simplificar
dichas expresiones.

2.3. TEOREMAS DEL LGEBRA DE BOOLE


Los teoremas del lgebra de Boole conducen a la obtencin de expresiones equivalentes
para una funcin lgica dada. Esta expresin equivalente puede poseer una serie de
caractersticas como pueden ser: el realizarse con un solo tipo de puertas, con el mnimo
nmero de puertas, etc.
Un conjunto dotado con una operacin algebraica y denominada unin de conjuntos ( )
que equivale a la suma lgica (+), y otra operacin algebraica denominada interseccin de
conjuntos ( ) que equivale al producto lgico (), es un lgebra de Boole si y slo si verifican
las propiedades:
P1.- Ley Conmutativa:
A+B=B+A
AB=BA
P2.- Ley Distributiva:
A (B + C) = A B + A C
A + (B C) = (A + B) (A + C)
P3.- Elemento Identidad:
0+A=A
1 A=A
P4.- Elemento Complementario:

A A

1
0

Una expresin o forma booleana puede utilizarse para describir una funcin lgica o de
conmutacin. Existen una serie de teoremas en el lgebra de Boole que permiten la obtencin
de expresiones equivalentes simplificadas para una funcin lgica dada.
T1.- Ley asociativa:
(A + B) + C = A + (B + C)
(A B) C = A (B C)
T2.- Idempotencia:
A+A=A
AA=A
G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.7

Estructura y Tecnologa de Computadores

lgebra de Boole y los circuitos combinacionales

T3.- Doble negacin:

T4.- Ley de Absorcin:


A + (A B) = A
A (A + B) = A
T5.- Teorema de De Morgan: la negacin de la unin o suma lgica de dos variables es
igual a la interseccin o producto lgico de la negacin de cada una de las variables y
viceversa.
A B A B
A B A B
T6.- Teorema de Shannon:
f A, B, C..., ,

f A, B, C..., ,

A continuacin se va a realizar un ejemplo de aplicacin de los teoremas de De Morgan de


tal forma que el resultado sea el mismo, pero ms simplificado.

Ejemplo: dada la funcin lgica f


teoremas del lgebra de Boole.

A C

A B C

A B C

A B C

A B C A C

A A A B A C A C B C C C

A A B A C A C B C C C

A A B A C A C B C

A A B A C C

B C

A A B A B C

Ley de Absorcion (A A B

A A B C
Solucin:

Teorema de De Morgan

A C

Doble negacion ( A

A)

Ley Distributiva
Idempotenc ia (A A

A)

Elem. Complement ario (C C

Ley Distributiva (A C A C )

0)

A C C

Elem. Complement ario (C C 1), elem. Identidad (A 1 A)

Idempotenc ia (A A

C , simplifquese usando las propiedades y

A)

A)

B C

Como ejemplos de aplicacin resueltos se da la expresin simplificada al mximo de las


siguientes funciones lgicas usando las propiedades y teoremas del lgebra de Boole:

A B

A B

A B

A B C

A B C

A B C

A B

A B C

f A B C A B C A B C A B C A B C A B C

f = A + BC+ BC

A B

A B C A B C

A B A B C

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.8

Estructura y Tecnologa de Computadores

lgebra de Boole y los circuitos combinacionales

2.4. SIMPLIFICACIN DE EXPRESIONES BOOLEANAS


2.4.1. Minimizacin
Como se ha podido observar, formas booleanas distintas pueden expresar la misma
funcin lgica. La realizacin fsica de una funcin lgica considera la expresin que minimice
el coste y maximice la fiabilidad del circuito diseado.
Es deseable, en general, obtener una expresin en forma de suma de productos, o
productos de sumas, que posea un mnimo nmero de trminos con el menor nmero de
variables en cada uno de ellos. Esto conducir a la utilizacin de un mnimo nmero de puertas
lgicas, conduciendo as a un diseo ms econmico.

2.4.2. Tablas de Karnaugh


Una tabla de Karnaugh es una forma de representar la tabla de verdad de una funcin
lgica de tal manera que la disposicin de las combinaciones de valores es muy til. En stas
se representa la tabla de verdad de una funcin lgica de modo que la disposicin de las
combinaciones de valores facilita la simplificacin. La figura 2.10 muestra tablas para una, dos,
tres y cuatro variables. Existe una correspondencia entre cada celda y una combinacin de
valores de variables binarias de entrada. A cada celda se le asigna el valor que toma la funcin
para los valores de las variables de entrada que se corresponden con la mencionada celda. No
se considerarn tablas de Karnaugh para un nmero mayor de variables.
En una tabla de Karnaugh, las combinaciones de valores de las variables binarias de
entrada correspondientes a celdas adyacentes difieren en el valor de una variable. En esta
tabla estn representadas todas las combinaciones de las variables en los encabezados de las
filas y las columnas. El orden de dichas filas y columnas es tal que se diferencian en un valor
entre dos combinaciones adyacentes.
B
A

0
1

00
10

0
1

BC

0
1

00

01

11

10

00

10

30

20

40

50

70

60

CD
AB

00
01
11

00

01

11

10

00

10

30

20

40

50

70

60

120 130 150 140

10

80 90 110 100
Figura 2.10. Tablas de Karnaugh para 1, 2, 3 y 4 variables. En el interior de cada celda se
ha consignado el valor decimal de la combinacin binaria asociada a la celda en cuestin

As, y por ejemplo, el procedimiento para utilizar una tabla de Karnaugh, de cuatro
variables, se basa en los siguientes pasos:
1.- Construir la tabla rellenando con unos y ceros las celdillas que correspondan.
2.- Se agrupan las celdas contiguas marcadas con unos con arreglo a los siguientes
criterios:
Grupos de unos aislados: generan productos de trminos con todas las variables
(ejemplo: A B C D).

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.9

Estructura y Tecnologa de Computadores

lgebra de Boole y los circuitos combinacionales

Grupos de dos unos que no puedan formar grupos de cuatro. Generan productos
de trminos de tres variables a los que falta la variable que cambia de valor
(ejemplo: A C D).
Grupos de cuatro unos que no puedan formar grupos de ocho. Generan productos
de trminos de dos variables a los que falta las dos variables que cambian de valor
(ejemplo: B D)
Grupos de ocho unos. Son grupos que generan trminos de una variable
eliminando las tres que cambian de valor.

Ejemplo: a partir de la funcin f, cuya tabla de verdad se adjunta en la figura 2.11, obtener
su expresin simplificada mediante Karnaugh.
A B C D

0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

0
0
1
0
0
1
1
1
1
0
0
0
0
1
0
1

0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

Figura 2.11. Tabla de verdad de la funcin f

CD
AB

00

01

11

00

01

11

10

10

Figura 2.12. Tabla de Karnaugh de la funcin f con los grupos de unos formados
Se suman los grupos conseguidos obteniendo la expresin de la funcin lgica en forma
irreducible.
f=ABCD+ACD+BD
Tambin se puede expresar la funcin como producto de sumas (maxterms), usando el
mismo procedimiento, considerando las celdas con ceros en vez de las que contienen unos
(figura 2.13).

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.10

Estructura y Tecnologa de Computadores

lgebra de Boole y los circuitos combinacionales

CD
AB

00

01

11

00

01

11

10

10

0
0

Figura 2.13. Tabla de Karnaugh con los grupos de ceros formados


La funcin, convenientemente simplificada, ser:
_
_
_
_
_ _
f = ( A + B + C ) ( A + B + D ) ( B + C + D ) ( A + B + D ) (A + C + D )

2.4.3. Implicantes
Para sistematizar el proceso de simplificacin mediante las tablas de Karnaugh, trabajando
con minterms, se introducen una serie de definiciones a continuacin:
Implicantes de una funcin:
Son los minterms a los que se les pueden aplicar las reglas de minimizacin con sus
adyacentes.
Implicante primo:
es un implicante que no es subconjunto de otro implicante.
Implicante primo esencial:
es un implicante primo que incluye una celda marcada con 1 que no est incluida en
ningn otro implicante primo.

El proceso de minimizacin mediante tablas de Karnaugh puede, por tanto, resumirse en


los siguientes pasos:
1.- Determinar todos los implicantes primos.
2.- Determinar todos los implicantes primos esenciales.
3.- Formar un conjunto mnimo de implicantes primos que incluya todos los esenciales y
que cubran todas las celdas marcadas con 1. Si hay que elegir entre dos implicantes
primos se elegir el de menor nmero de variables.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.11

Estructura y Tecnologa de Computadores

lgebra de Boole y los circuitos combinacionales

Ejemplo: Sea una funcin cuya tabla de Karnaugh es la siguiente:


CD
AB 00

00

01
1
1

11
X

X
Y
Z

10

01

10

11

1
1

Implicantes: ...........................X, Y, Z, W
Implicantes primos: ............... X, Y, Z, W
Impl. primos esenciales: ........... X, Z, W

A B D
B C D
A C
A B C D

X y Z cubren todas las celdas con 1 excepto la 0000 (W) .


La expresin mnima de la funcin es:
F

A B C D

A B D

A C

2.4.4. Funciones incompletamente definidas


A veces aparecen funciones para las que no se especifica su valor ante ciertas
combinaciones de las variables de entrada. Esto se debe a que el valor tomado por la funcin
ante determinadas combinaciones de entrada es irrelevante para el cometido de sta, o bien,
porque en la prctica no se van a dar dichas combinaciones de las variables de entrada. En
estos casos se dice que la funcin est incompletamente definida.
En la tabla de Karnaugh, stos trminos se indican con una X y su valor es el que ms
convenga en cada situacin, ignorndolos si no ayudan a eliminar una variable.

Ejemplo:
CD
00
AB
00

01

01

10

10
Como no sirve
para eliminar
una variable,
la ignoramos

X
1

11

11

1
1

La expresin mnima de la funcin es:


f

B C D

A B D

A C

2.5. DISEO DE CIRCUITOS


Algunas veces se intenta en el diseo real de un circuito obtener una expresin utilizando
un nico tipo de puerta lgica, ya que en ocasiones slo se dispone de ese tipo de puertas.
Como criterio general se puede decir que la simplificacin de funciones lgicas est
encaminada a la obtencin de un diseo que utilice el menor nmero de circuitos integrados.

Veamos a continuacin, una serie de ejemplos de diseo de circuitos.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.12

Estructura y Tecnologa de Computadores

lgebra de Boole y los circuitos combinacionales

Ejemplo 1:
Sea la funcin f A B B C A C . Realcense las transformaciones necesarias para
implementar dicha funcin con puertas NAND, ya que slo se dispone de dos circuitos
integrados 7410.
El integrado 7410 contiene tres puertas NAND de tres entradas, por lo que se dispone de
seis puertas.
Se tiene que preparar la funcin para implementarla con puertas NAND. Para ello se aplica
la doble negacin sobre la funcin f pasando de suma de productos a producto de productos,
cada uno de los cuales se implementar con una puerta NAND.

A B

B C

A C

por el teorema de De Morgan

A B

B C

A C

A y B se obtienen mediante puertas NAND con todas sus entradas unidas,


comportndose stas como puertas NOT.
Por tanto, se han necesitado las seis puertas de los dos circuitos integrados de los que se
dispone, segn muestra la figura 2.14.

Figura 2.14. Circuito que implementa la funcin f

A B

B C

A C

con puertas NAND de tres entradas.

Ejemplo 2:
Sea la funcin f
A B B C A C . Realcense como en el ejemplo anterior, las
transformaciones necesarias teniendo en cuenta que ahora se dispone de dos circuitos
integrados 7402.
El integrado 7402 contiene cuatro puertas NOR de dos entradas, por lo que se dispone de
ocho puertas.
Se tiene que preparar la funcin para poderla implementar con puertas NOR. Para ello se
aplica la doble negacin sobre la funcin f pasando de producto de sumas a suma de sumas,
cada uno de los cuales se implementaran con una puerta NOR.

A B

B C

A C

Aplicando el teorema de De Morgan:

A B

B C

A C

A se obtiene mediante una puerta NOR con todas sus entradas unidas comportndose
como puertas NOT.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.13

Estructura y Tecnologa de Computadores

lgebra de Boole y los circuitos combinacionales

Se necesitan siete de las ocho puertas de los dos circuitos integrados de los que se
dispone para poder implementar la funcin obtenida con puertas NOR de dos entradas, tal y
como muestra la figura 2.15.

Figura 2.15. Circuito que implementa de la funcin f


A
con puertas NOR de dos entradas

Ejemplo 3:
Sea un tanque (figura 2.16) en el que se mezclan compuestos qumicos. En l existen tres
detectores: de presin (P), de temperatura (T) y de nivel (N). Cualquiera de las tres
combinaciones siguientes debe activar una alarma:
1.- Nivel alto con alta temperatura.
2.- Nivel alto con alta presin.
3.- Alta temperatura y alta presin.

Figura 2.16. Tanque con tres detectores


A continuacin en la figura 2.17 se representa la tabla de verdad de un circuito lgico que
produce una seal de alarma para las tres variables de entrada, antes mencionadas.
N T P f
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

0
0
0
1
0
1
1
1

Figura 2.17. Tabla de verdad


La funcin lgica que se obtiene es expresada en forma cannica.

N T P N T P N T P

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

N T P
Pg.14

Estructura y Tecnologa de Computadores

lgebra de Boole y los circuitos combinacionales

Supongamos que slo contamos con puertas NAND, concretamente un circuito integrado
con tres puertas NAND de tres entradas (7410) . Se tendr que simplificar la funcin al mximo
para luego implementarla con este tipo de puertas.
Una simplificacin de esta funcin se puede obtener desarrollando la tabla de Karnaugh de
la funcin bajo estudio.
TP
N

00

01

11

10

Existen tres grupos de dos unos, por lo que la funcin lgica simplificada que obtenemos
es:

T P N P N T

La funcin implementada, usando nicamente puertas NAND de tres entradas se muestra


en la figura 2.18.

Figura 2.18. Funcin correspondiente al ejercicio 3 implementada con puertas NAND

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.15

Estructura y Tecnologa de Computadores

Sistemas digitales combinacionales

CAPTULO 3
SISTEMAS DIGITALES
COMBINACIONALES (II)

3.1. INTRODUCCIN
Un circuito combinacional es aqul que est formado por funciones lgicas elementales que
poseen un nmero de entradas y otro de salidas, de forma que los valores de stas en un
determinado instante dependen exclusivamente del valor que poseen aqullas en dicho instante.
El proceso a seguir para realizar un circuito digital combinacional es el siguiente:
A partir de las especificaciones de entrada y salida se confecciona la tabla de verdad
de cada una de las salidas.
Se obtiene una funcin simplificada para cada salida.
Se implementan las funciones obtenidas, eligiendo el tipo de puertas y la familia de
circuitos integrados ms idnea.
En este tema se van a tratar los circuitos que efectan operaciones bsicas aritmticas, es
decir, la suma y la resta, ya que la multiplicacin es fundamentalmente la suma repetitiva, y la
divisin una resta encadenada.
Adems, se van a estudiar circuitos combinacionales relacionados con la transferencia de
informacin tales como multiplexores, los cuales a partir de varias seales de entrada y mediante
seales de control seleccionan una de aqullas para que aparezca a la salida. Los demultiplexores
realizan el proceso contrario.
Por otra parte, al disear un sistema digital es necesario codificar en forma binaria la
informacin numrica y alfanumrica con la que trabaja el sistema. A tal efecto, existen los circuitos
combinacionales denominados codificadores. El proceso contrario lo realizan los decodificadores.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.1

Estructura y Tecnologa de Computadores

Sistemas digitales combinacionales

3.2. CIRCUITOS SUMADORES


3.2.1. Suma de nmeros binarios
Las operaciones aritmticas realizadas con el sistema binario son anlogas a las realizadas
con el sistema decimal. Por ejemplo, la suma de nmeros binarios se hace igual que en el sistema
decimal, sumando dgitos que tienen el mismo peso o valor relativo.
La tabla de la suma en el sistema binario es la siguiente:
0
0
1
1

+
+
+
+

0
1
0
1

=
=
=
=

0
1
1
0 (acarreo 1)

En este ultimo caso (1+1), se obtiene un nmero de dos bits en el que el 0 ser el resultado de
la suma y al 1 se le llamar acarreo (carry, en ingls).
3.2.2. El semisumador
El semisumador (SS) realiza la suma aritmtica de dos bits. Se trata de un sistema
combinacional de dos variables de entrada y dos funciones de salida: la suma binaria S y el
acarreo C. La tabla de verdad que define el sistema ser:
A

0
0
1
1

0
1
0
1

0
1
1
0

0
0
0
1

S=A

C=AB

El circuito resultante es el de la figura 3.1.

Figura 3.1. Circuito semisumador y su smbolo

3.2.3. El sumador completo


Cuando intervienen operandos de dos o ms dgitos binarios la adicin se complica por el
acarreo que se puede generar en cada una de las sumas parciales.
El sumador completo (SC) es un circuito capaz de sumar tres bits del mismo peso, dando a su
salida la suma y el acarreo.
Cn-1
A
B

=
=

A+B =

Cn

C2

C1

An ------------- A3
Bn ------------- B3

A2
B2

A1
B1

Sn ------------- S3
S2
S1
Cn-1
C2
C1

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.2

Estructura y Tecnologa de Computadores

Sistemas digitales combinacionales

De la suma anterior se desprende la necesidad de un sumador con tres entradas (A i, Bi y el


acarreo C i 1 ) y dos salidas, Si y Ci (dgito suma y acarreo generado). La tabla de verdad de este
sumador es:

Ai Bi Ci-1 Si Ci
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

0
1
1
0
1
0
0
1

0
0
0
1
0
1
1
1

Tras aplicar las tablas de Karnaugh se obtiene:


Si = Ai

Bi

Ci-1

Ci = Ai Bi + Ai Ci-1 + Bi Ci-1
El circuito resultante es el de la figura 3.2.

Figura 3.2. Circuito sumador completo y su smbolo

3.2.4. El sumador paralelo con acarreo serie


Si las cantidades a sumar necesitan ms de dos bits para su representacin, dichos bits se
presentan a la vez en las entradas del circuito. En consecuencia, sern necesarios tantos
sumadores totales como bits tenga el mayor de los sumandos.
Una de las formas de realizar la suma en paralelo es generando el acarreo en serie, de forma
que en cada sumador se suman los dos bits del mismo peso de ambos nmeros y el acarreo de la
suma de los bits de peso inferior. El circuito se muestra en la figura 3.3.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.3

Estructura y Tecnologa de Computadores

Sistemas digitales combinacionales

0
Figura 3.3. Sumador paralelo con acarreo serie
Con este funcionamiento se ha comercializado el doble sumador completo (DSC) , que suma
dos nmeros de dos bits y un acarreo de entrada, y el cudruple sumador completo (CSC), que
suma dos nmeros de cuatro bits y un acarreo de entrada. En la figura 3.4 se representan los
bloques funcionales de estos circuitos.

Figura 3.4. Sumadores completos: a) doble sumador completo; b) cudruple sumador


completo; c) Sumador binario de cuatro bits 74283

3.3. MULTIPLEXORES
Los multiplexores son circuitos combinacionales con varias entradas y una nica salida de
datos. Estos circuitos estn dotados de entradas de control capaces de seleccionar una, y slo
una, de las entradas de datos para permitir su transmisin desde la entrada seleccionada a la
mencionada salida nica.
La entrada seleccionada viene determinada por la combinacin de 0 y 1 lgicos aplicados en
las entradas de control. Para N entradas de datos se necesitan n entradas de control, siendo N =
n
2.
Podemos decir que un multiplexor es un selector de datos equivalente a un conmutador de N
entradas y una salida (figura 3.5).

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.4

Estructura y Tecnologa de Computadores

Sistemas digitales combinacionales

Figura 3.5. Multiplexor como conmutador con varias posiciones


El diseo de un multiplexor, a partir de su tabla de verdad, es el mismo que cualquier sistema
combinacional. Por ejemplo, en un multiplexor de 4 entradas y 1 salida (fig. 3.6) con 2 entradas de
control, su tabla de verdad se define dependiendo de la combinacin de dichas entradas de
control. As, a la salida se transmite una u otra entrada de las cuatro posibles.

Entr. Control
A
0
0
0
0
1
1
1
1

B
0
0
1
1
0
0
1
1

Entr. Datos
D0
0
1
X
X
X
X
X
X

D1
X
X
0
1
X
X
X
X

D2
X
X
X
X
0
1
X
X

D3
X
X
X
X
X
X
0
1

Salidas
Z
0
1
0
1
0
1
0
1

Z
1
0
1
0
1
0
1
0

Figura 3.6. Multiplexor de cuatro entradas de datos y una de salida. Tabla de verdad
A veces se le aade otra entrada suplementaria llamada inhibicin o strobe, que cuando
se activa, la salida toma el valor cero independientemente del valor de las entradas de datos y de
control. Cuando est inactiva, la salida toma el valor de la entrada de datos seleccionada por las
entradas de control. Esta entrada puede ser activa a nivel alto o a nivel bajo.

3.3.1. Extensin de multiplexores


Los multiplexores presentes en el mercado no suelen poseer ms de 8 entradas. Para obtener
multiplexores de un nmero mayor de entradas se tendr que usar ms de un multiplexor, por lo
que, se suelen realizar acoplamientos entre multiplexores de 2, 4 y 8 entradas. Por ejemplo, para
formar un circuito multiplexor de 32 entradas de datos se pueden usar cuatro multiplexores de 8
entradas y uno de 4 (figura 3.7) formando dos etapas.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.5

Estructura y Tecnologa de Computadores

Sistemas digitales combinacionales

Figura 3.7. Conexionado de un multiplexor de treinta y dos entradas.


ST= entrada de inhibicin o STROBE
En la primera etapa tenemos las 32 entradas de datos de los cuatro multiplexores. Las salidas
de estos multiplexores alimentan las 4 entradas del multiplexor de la segunda etapa. Las entradas
de control van multiplexadas a cuatro circuitos en la primera etapa. La presentacin de la salida se
condiciona por el terminal strobe del multiplexor de la ltima etapa, de manera que ste pueda
inhibirla.

3.3.2. Aplicaciones de los multiplexores


Conversin paralelo serie
En la figura 3.8 se muestra una solucin sencilla, rpida y econmica de convertir una
informacin presentada en paralelo en una informacin en serie.
A cada impulso del reloj, el contador (mdulo 16), cuyo estudio se realizar en un tema
posterior, da una salida codificada en 4 bits que se aplica a las entradas de control del multiplexor,
de forma que los 16 datos presentados en paralelo pasan de uno en uno a la salida serie.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.6

Estructura y Tecnologa de Computadores

Sistemas digitales combinacionales

Figura 3.8. Conversin paralelo-serie para 16 entradas en paralelo


La figura 3.9 muestra la conversin de informacin presentada en paralelo, de 8 y 4 bits, en
una informacin en serie.

Figura 3.9. Conversin paralelo-serie para a) 8 bits y b) 4 bits


Generacin de funciones
El circuito multiplexor tiene una configuracin capaz de realizar funciones por medio de suma
de productos. As, es posible implementar una funcin lgica introduciendo las variables de la
funcin y/o sus complementos en los terminales de entrada de datos y de control del multiplexor.
En general, se puede construir una funcin de N variables mediante un multiplexor de N - 1
entradas de control. As:

FUNCIN
3 variables
4 variables
5 variables

ENTR. DATOS MULTIP.


4 entradas
8 entradas
16 entradas

ENTR. CONTROL MULTIP.


2 entradas
3 entradas
4 entradas

N 1 variables cualesquiera de la funcin en cuestin se conectan a las entradas de control. En


las entradas de datos pueden aparecer: a) la variable restante, b) su complemento, c) el estado
lgico 0 d) el estado lgico 1.
El procedimiento para sintetizar la funcin puede verse a continuacin mediante un ejemplo.
Ejemplo: considrese la funcin:
F ( X , Y , W ) X YW

XY W

XY W

XY W

XYW

Como dicha funcin posee tres variables, se necesita un multiplexor de dos entradas de
control. Consideremos que X e Y se conectan a las entradas de control del multiplexor en cuestin.
Veamos el valor que toma la funcin para las diferentes combinaciones de valores lgicos de estas
variables:

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.7

Estructura y Tecnologa de Computadores

Sistemas digitales combinacionales

a) Si X = 0 e Y = 0:
F ( X ,Y ,W )

11W

10W

01W

00W

00W

01W

10W

Por tanto, se ha de conectar W a la entrada de datos D0.


b) Si X = 0 e Y = 1:
F ( X ,Y ,W )

10W

11W

00W

01W

Por tanto, se ha de conectar W a la entrada de datos D1.


c) Si X = 1 e Y = 0:
F ( X ,Y ,W )

01W

01W

11W

10W

Por tanto, se ha de conectar W a la entrada de datos D2.


d) Si X = 1 e Y = 1:
F ( X ,Y ,W )

00W

01W

10W

11W

11W

Por tanto, se ha de conectar un 1 lgico a la entrada de datos D3.


En la figura 3.10 se muestra el circuito resultante

Figura 3.10. Generacin de una funcin de tres variables mediante un multiplexor


de 2 entradas de control

3.3.3. Multiplexores integrados


En la figura 3.11 se muestran algunos de los multiplexores integrados de la familia TTL serie
74XX disponibles en el mercado.

74150: multiplexor/selector de datos de 16 a 1.


74151: multiplexor/selector de datos de 8 a 1.
74257: cudruple multiplexor/selector de datos de 2 a 1.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.8

Estructura y Tecnologa de Computadores

Sistemas digitales combinacionales

74150

Figura 3.11. Circuitos multiplexores integrados

3.4. CODIFICADORES
Un codificador es un circuito combinacional con N entradas y n salidas. En el caso de tratarse
n
de un codificador binario, N = 2 . De esta ltima forma se tendrn codificadores de 4 entradas y 2
salidas, 8 entradas y 3 salidas, etc.
En este circuito combinacional, al activarse una sola entrada aparece a la salida la
representacin del nmero asignado a la entrada con arreglo a un cdigo. El codificador genera
como salida una combinacin de n bits que es nica para cada entrada activada.
Dependiendo del nmero de entradas que pueden excitarse a la vez se tienen dos tipos de
codificadores, sin prioridad o con prioridad:
Sin prioridad: en este tipo solo pueden excitarse una entrada a la vez. En caso de
presentarse la excitacin de dos o ms entradas a la vez, se activan todas las salidas
correspondientes a cada entrada por separado.
Con prioridad: en este tipo ocurre que al excitarse ms de una entrada, la
combinacin de salidas obtenida corresponde a la entrada de mayor valor decimal de
entre las sealadas.
Un codificador muy comn utilizado sera el que convierte el nmero decimal a la entrada a su
correspondiente representacin segn el cdigo BCD natural, por lo que este codificador tendra
diez entradas y cuatro salidas, como el que se muestra en la figura 3.12.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.9

Estructura y Tecnologa de Computadores

Sistemas digitales combinacionales

Figura 3.12. Diagrama de bloques de un codificador de 10 entradas y cuatro salidas


La correspondencia entre entradas y salidas est representada por la siguiente tabla de
verdad, de la que se deduce que el valor de cada salida ser la suma lgica de las entradas que
producen un 1 en la salida. Los valores que aparecen en las salidas forman el denominado cdigo
BCD.
ENTRADAS

E0
E1
E2
E3
E4
E5
E6
E7
E8
E9

SALIDAS
Y0 Y1 Y2 Y3
0
0
0
0
0
0
0
0
1
1

0
0
0
0
1
1
1
1
0
0

0
0
1
1
0
0
1
1
0
0

0
1
0
1
0
1
0
1
0
1

Y0

E8

E9

Y1

E4

E5

E6

E7

Y2

E2

E3

E6

E7

Y3

E1

E3

E5

E7

E9

En la figura 3.13 se representa el circuito integrado 74147. Se trata del un codificador 10 a 4


con prioridad.

Input

Figura 3.13. Codificador 74147

3.5. DECODIFICADORES
El funcionamiento de un decodificador es opuesto al de un codificador, de manera que
cada combinacin de valores en las entradas pone a 1, una y slo una de las salidas,
permaneciendo las restantes salidas al valor 0.
G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.10

Estructura y Tecnologa de Computadores

Sistemas digitales combinacionales

Se pueden distinguir dos tipos bsicos de decodificadores: Los excitadores y los no


excitadores.
Los primeros son aquellos decodificadores en los que al introducir un cdigo binario de n
bits de entrada, se excita una sola salida. Un ejemplo de este tipo de decodificadores son
los decodificadores de dos entradas con cuatro salidas y los decodificadores BCD-Decimal
con cuatro entradas.
Los segundos son decodificadores que al introducir un cdigo binario de n bits de entrada
se excitan varias salidas simultneamente. Un ejemplo es aquel que convierte el cdigo
BCD de sus entradas al formato de salida necesario para excitar un visualizador numrico
o alfanumrico. Dentro de este tipo de decodificadores uno de los ms utilizados en el
diseo digital es el llamado decodificador excitador BCD-7 segmentos, que se ver a
continuacin.

3.5.1. Decodificador excitador BCD-7 segmentos


En la actualidad, se utilizan normalmente una serie de dispositivos de representacin visual
fabricados a base de siete segmentos o barras independientes, mediante las cuales se pueden
presentar los dgitos decimales. Estos segmentos pueden ser cristales lquidos, diodos led, etc.
Para excitar estos dispositivos se han desarrollado toda una gama de decodificadores que
reciben la informacin en cdigo BCD, procedente de un ordenador o de un aparato de medida, y
entregan siete salidas preparadas para alimentar los siete segmentos que componen cada dgito
decimal. A continuacin se ver la estructura de un decodificador excitador BCD-7 segmentos, que
es uno de los ms sencillos.
Dado que los cuatro bits con los que se representa el cdigo BCD permiten hasta 16
combinaciones diferentes y slo se utilizan 10 para dgitos decimales y 5 para signos especiales, la
combinacin que queda apaga todos los segmentos. Existe una entrada aadida a las de los
cuatro bits del cdigo, que sirve para impedir o permitir la salida del decodificador una vez
introducida la entrada. Por lo tanto, el decodificador ser un sistema combinacional de cinco
entradas y siete salidas cuya tabla de verdad es la siguiente:
ENTRADAS
SALIDAS
Signo a representar

0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
I

Entradas de cdigo
E3 E2 E1 E0
0
0
0
0
0
0
0
0
1
1
0
1
1
1
1
1
X

0
0
0
0
1
1
1
1
0
0
1
0
1
1
1
1
X

0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
X

0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
X

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Inhibicin
I

1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
0

1
0
1
1
0
1
0
1
1
1
0
0
0
1
0
0
0

1
1
1
1
1
0
0
1
1
1
0
0
1
0
0
0
0

1
1
0
1
1
1
1
1
1
1
0
1
0
0
0
0
0

1
0
1
1
0
1
1
0
0
0
1
1
0
1
1
0
0

1
0
1
0
0
0
1
0
1
0
1
0
0
0
1
0
0

1
0
0
0
1
1
1
0
1
1
0
0
1
1
1
0
0

0
0
1
1
1
1
1
0
1
1
1
1
1
1
1
0
0
Pg.11

Estructura y Tecnologa de Computadores

Sistemas digitales combinacionales

En la figura 3.14 se ha representado el convenio de segmentos usados en este tipo de


presentacin visual.

Figura 3.14. Decodificador Excitador BCD-7 segmentos y representacin del visualizador

3.5.2. Decodificadores integrados


En la figura 3.15 se muestra algunos de los decodificadores integrados de la familia TTL serie
74 disponibles en el mercado.
7442: decodificador BCD-decimal.
7446: decodificador BCD-7 segmentos.

Figura 3.15. Circuitos decodificadores integrados


El circuito 7446 incorpora una entrada LAMP TEST (LT) que enciende todos los segmentos al
poner un cero. Tambin lleva las terminales RBI y RBO para suprimir los ceros iniciales de un
nmero. Cuando la entrada RBI=0 y A=B=C=D, las salidas de los segmentos se ponen a 1
haciendo que se apaguen, y RBO se pone a 0.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.12

Estructura y Tecnologa de Computadores

Sistemas secuenciales

CAPTULO 4
SISTEMAS DIGITALES
SECUENCIALES

4.1. CIRCUITOS COMBINACIONALES Y SECUENCIALES


Un sistema combinacional es aqul en el que, en un determinado instante, sus salidas
toman un estado lgico que depende nicamente del estado lgico que adoptan sus entradas
en dicho preciso instante. Estos sistemas se han estudiado a lo largo del tema anterior. Un
ejemplo de circuito combinacional es un pulsador conectado a una bombilla de modo que esta
ltima estar encendida siempre que active el pulsador y apagada cuando no acte sobre l.
Simplificando, puede decirse que el valor actual de cada una de las salidas de un circuito
combinacional (figura 4.1) depende nicamente de los valores actuales de sus entradas.

Figura 4.1. Circuito combinacional

Un circuito secuencial es aquel circuito en el que los valores actuales de sus salidas
dependen de los valores actuales de las entradas y de los valores que dichas entradas han
adoptado anteriormente (historia del sistema).
Los circuitos secuenciales, de la misma forma que los combinacionales, estn constituidos
internamente por puertas lgicas. Sin embargo, a diferencia de stos y como se ha dicho ms
arriba, en los secuenciales los valores de las salidas no dependen exclusivamente de los
valores de las entradas, sino que adems dependen de los que estuviesen presentes con
anterioridad. Por tanto, puede ocurrir, que para iguales valores en las entradas se pueden
obtener estados distintos en las salidas en momentos diferentes.
Los circuitos secuenciales tienen capacidad para recordar o memorizar los valores de las
variables de entrada. Es decir, las salidas de un circuito secuencial (figura 4.2) dependen de los
valores presentes en las entradas y de la historia del sistema. Para memorizar dicha historia es
necesario un conjunto de clulas elementales de memoria denominadas registro.
Un ejemplo de circuito secuencial es un interruptor que al actuar sobre l encender o
apagar la bombilla dependiendo del estado en el que se encontraba sta, apagada o
encendida.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg. 1

Estructura y Tecnologa de Computadores

Sistemas secuenciales

Figura 4.2. Circuito secuencial


Un biestable ser el elemento bsico de memoria que, construido con puertas lgicas, es
capaz de almacenar un bit de informacin durante el tiempo que sea necesario mientras se
encuentre alimentado. Un biestable es el circuito secuencial ms elemental, capaz de
almacenar informacin. Slo cambiar la informacin almacenada cuando sean aplicadas
seales adecuadas a sus entradas.
Un biestable o flip-flop puede tener dos tipos de entradas:
a) Sncronas: Son aquellas entradas que, una vez introducido el dato en una de
ellas, hay que esperar un impulso exterior de reloj (clock en ingls) para que
la salida quede afectada segn esos datos aplicados a estas entradas.
b) Asncronas: Son aquellas que modifican la salida en cuanto se produce el
cambio en la entrada, sin necesidad de una seal de reloj.
Algunos biestables poseen entradas sncronas y asncronas, de forma que la accin de
estas ltimas posee prioridad frente a las primeras.
El terminal de reloj es la entrada que gobierna las entradas sncronas de un biestable, al
recibir por dicho terminal la denominada seal de reloj. Esto es til porque, mediante una sola
lnea, se pueden gobernar varios biestables haciendo que los cambios de estado en sus
salidas se produzcan de una manera sncrona o simultnea, es decir, todos al mismo tiempo.
El gobierno del reloj puede ser (figura 4.3) bien por nivel, con lo que el biestable acta
estando la seal de reloj en nivel alto (o bajo), o bien por la llegada del flanco, con lo que puede
ser activado por subida o por bajada (edge triggered, en ingls).

Figura 4.3. Gobierno del reloj


En este tema se estudiarn los siguientes biestables:
Biestable R-S
Biestable J-K
Biestable D
Biestable T

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg. 2

Estructura y Tecnologa de Computadores

Sistemas secuenciales

4.2. EL BIESTABLE R-S


Dentro de este tipo de biestable podemos distinguir cuatro variedades: R-S NOR, R-S
NAND, R-S sncrono y R-S sncrono con entradas asncronas.

4.2.1. Biestable R-S NOR


El biestable R-S NOR, que se muestra en la figura 4.4, posee dos entradas llamadas R
(RESET) y S (SET) y dos salidas denominadas Q y Q .

Figura 4.4. Biestable R-S NOR: circuito, smbolo y tabla de verdad

El funcionamiento de este biestable es:


Si S=1 y R=0

Q=1 y Q =0

SET.

Si S=0 y R=1
Q=0 y Q =1
RESET.
Si S=0 y R=0
se mantiene el valor anterior de Q (memoria).
Si S=R=1
Q= Q =0
estado no deseado.
Al aplicar un 1 lgico a la entrada S y un 0 lgico a la entrada R, la salida se pondr a 1,
independientemente del valor que tuviera con anterioridad. Cuando aplicamos el valor 1 en la
entrada R y el 0 a la entrada S, la salida ser 0, con independencia del valor anterior. Cuando
R=S=1, ocurre que Q= Q =0, lo que entra en contradiccin con la notacin utilizada. Pero
adems, si desde la situacin R=S=1 pasamos a R=S=0, el estado final de Q es impredecible,
por razones que exceden el propsito de este texto. Por eso se dice que la combinacin de
R=S=1 es no deseada.

4.2.2. Biestable R-S NAND


Es posible construir un circuito dual del anterior que posea la misma tabla de verdad, con
puertas NAND, cuya estructura se muestra en la figura 4.5.

Figura 4.5. Biestable R-S NAND: circuito, smbolo y tabla de verdad


Al igual que sucede con el biestable R-S NOR, S=1 pone el biestable a SET, es decir, Q=1
y R=1 pone el biestable a RESET. S=R=0 no da lugar a cambios y S=R=1 hace que Q= Q =1,
pero a partir de esta ltima situacin, al cambiar los valores de la entrada el estado final es
imprevisible.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg. 3

Estructura y Tecnologa de Computadores

Sistemas secuenciales

4.2.3. Biestable R-S sncrono activo por nivel


Se dice que un sistema o circuito digital es sncrono cuando ste slo se activa en los
momentos que define un generador de impulsos cuadrados de frecuencia fija, que acta sobre
todo el sistema.
El generador de impulsos cuadrados y de frecuencia fija es lo que llamamos un reloj (clock,
en ingls). Con la introduccin de este reloj se evita as el desfase temporal que se puede
introducir entre las seales que llegan a las puertas.
En la figura 4.6 se muestra el circuito del biestable R-S sncrono, su smbolo y su tabla de
verdad.

Figura 4.6.- Biestable R-S sncrono: circuito, smbolo y tabla de verdad.


Aunque las entradas del biestable R-S se modifiquen, ste no cambiar mientras la seal
aplicada a C no se encuentre a nivel alto, momento en el que la informacin presente en R y S
actualiza la salida del biestable.
Se puede decir que:
Cuando C=1, se tienen puertas AND TRANSPARENTES.
Cuando C=0, se tienen puertas AND BLOQUEADAS.

4.2.4. Biestable R-S sncrono activo por nivel con entradas asncronas
Son biestables que adems de tener entradas sncronas controladas por reloj, R y S,
tienen entradas independientes que actan de forma asncrona, llamadas PRESET Y CLEAR.
Las entradas asncronas son activas a nivel bajo.
La figura 4.7 muestra el circuito del biestable R-S sncrono con entradas asncronas, su
smbolo y su tabla de verdad.

Figura 4.7. Biestable R-S sncrono con entradas asncronas: circuito, smbolo y tabla
de verdad
El funcionamiento de este biestable puede resumirse como sigue:
Si PR

0 y CL

fuerza a Q n

1.

Si PR

1 y CL

fuerza a Q n

1.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg. 4

Estructura y Tecnologa de Computadores

Sistemas secuenciales

S PR CL 1, las entradas asncronas no actan, por lo que el biestable


funciona en modo sncrono a partir de las entradas R y S.
S PR

PR

CL

CL

fuerza a Qn

Qn

1 * , lo que provoca que al volver a

1 el resultado sea imprevisible.

La accin de las entradas asncronas prevalecen siempre sobre las sncronas.

4.2.5. Cronogramas del biestable R-S


Un cronograma consiste en la representacin grfica de la evolucin temporal de algunas
de las seales presentes en un circuito digital. En la figura 4.8 se muestran algunos
cronogramas relacionados con el funcionamiento del biestable tipo R-S para ayudar a su
comprensin. En dichos cronogramas cabe destacar los siguientes comportamientos:
En el biestable R-S asncrono (ya sea NOR o NAND), los cambios en las
entradas R y S se reflejan inmediatamente en la salida.
En el biestable R-S sncrono, los cambios en las entradas R y S se reflejan en
la salida si coinciden con un nivel alto de tensin del reloj.
En el biestable R-S sncrono con entradas asncronas, las entradas
asncronas prevalecen siempre.

Figura 4.8. Cronogramas del funcionamiento de los biestables R-S

4.3. EL BIESTABLE J-K


Anteriormente se pudo observar cmo en todos los biestables apareca el problema de la
combinacin indeseada R=S=1 a su entrada. Para solucionar este conflicto se recurre al
biestable J-K, mejorado en este aspecto.
El diseo del biestable J-K (figura 4.9) se obtiene aadiendo al biestable R-S dos puertas
AND y una realimentacin desde las salidas a dichas puertas de forma que ahora la situacin
J=1, K=1 en las entradas genera el estado Q n a la salida.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg. 5

Estructura y Tecnologa de Computadores

Sistemas secuenciales

Qn
Figura 4.9. Biestable J-K
Uno de los problemas que pueden presentar los biestables J-K es que debido a la doble
realimentacin existente en su circuito puede dar lugar a oscilaciones, lo que hace que este
tipo de biestables no tenga aplicacin prctica.
Este problema se puede resolver utilizando biestables de mayor complejidad interna, como
es el caso de los llamados biestables maestro-seguidor o el biestable J-K disparado por flanco.

4.3.1. Biestable J-K maestroseguidor (Master-Slave)


Este biestable soluciona el problema de inestabilidades que existen en los biestable J-K
clsicos, ya que asla la salida y la entrada de forma que no pueda existir un camino de
realimentacin no deseado.
Para explicar el funcionamiento de este tipo de biestables, estudiaremos el circuito tpico
representado en la figura 4.10.

1
0

Figura 4.10. Biestable J-K maestro-seguidor


Como se puede observar, existen distintos puntos clave que sirven para ayudar a
comprender cmo funciona el biestable maestro-seguidor.
Cuando el reloj est a nivel bajo, las entradas del biestable maestro se
encuentran a 0 quedando independizado de las entradas J y K. Al mismo
tiempo, las puertas que preceden al biestable seguidor fijan que las entradas
de este biestable sean iguales a las salidas del maestro, con lo que el
seguidor estar, forzosamente, en la misma situacin que el maestro.
Cuando el reloj pasa a nivel alto, las puertas anteriores al seguidor
interrumpen la comunicacin entre ste y el maestro, por lo que las entradas
de seguidor son 0, es decir, se mantienen en el estado anterior. Por otro
lado, las puertas precedentes al maestro se abren, estableciendo la
comunicacin entre las entradas J y K del biestable con las del biestable
maestro.
Al mismo tiempo, las realimentaciones que van desde las salidas Q y Q a las
puertas de entrada evitan que en las entradas del maestro pueda producirse
la situacin de tener ambas a 1. Adems, la lgica que establecen las dos
primeras puertas obliga al maestro a tomar el estado que ordenen las
entradas J y K.
Cuando el reloj vuelve a nivel bajo, el seguidor reproduce la situacin en que
se encuentra el maestro en este momento, mientras que el maestro queda
aislado del exterior.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg. 6

Estructura y Tecnologa de Computadores

Sistemas secuenciales

En este tipo de biestables, el seguidor slo puede cambiar en el momento en que el reloj
pasa de nivel alto a bajo, que es cuando se actualizan sus entradas.
Por otro lado, el maestro se encuentra conectado a las entradas J y K durante todo el
tiempo en que el reloj est en nivel alto, por lo que podr cambiar en cualquier momento en
que se produzca una variacin en las entradas J-K durante este intervalo de tiempo. Por esta
razn, para que tengamos un funcionamiento correcto del biestable es necesario que los
impulsos de reloj sean de corta duracin en relacin con su periodo de repeticin y que, por
supuesto, las entradas J y K no cambien durante ese impulso de reloj.

4.3.2. Biestable J-K disparado por flanco


Un biestable disparado por flanco es aquel que actualiza sus salidas en funcin de las
entradas y de la seal de reloj (cuando esta ltima realiza una transicin de nivel alto a nivel
bajo -flanco negativo o de bajada- o bien de nivel bajo a nivel alto -flanco positivo o de subida).
La figura 4.11 muestra el smbolo y la tabla de verdad del biestable J-K disparado por
flanco.

Figura 4.11. Biestable J-K disparado por flanco (7476)


Al volver de la situacin PR=CL=1 a PR=CL=0, se produce una indefinicin (con un
asterisco en la tabla) ya que no se puede predecir el valor de Q n+1. Por lo tanto, PR=CL=1 se
dice que es una combinacin indeseada.
De los dos flancos de impulso del reloj, slo uno de ellos es activo tanto para la lectura de
las entradas como para la transicin de las salidas.
Las entradas deben ser estables un cierto tiempo previo al flanco activo, producindose la
transicin con un cierto tiempo de retardo respecto a ese flanco. La duracin del impulso del
reloj y la posicin relativa del flanco activo no es importante.

4.3.3. Cronogramas del biestable J-K disparado por flanco


En la figura 4.12 aparecen unos cronogramas de funcionamiento del biestable J-K
disparado por flanco negativo con entradas asncronas, a modo de ejemplo.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg. 7

Estructura y Tecnologa de Computadores

Sistemas secuenciales

Fig.4.12.Cronogramas de funcionamiento del biestable J-K


disparado por flanco negativo con entradas asncronas (7476)

4.4. EL BIESTABLE D (RETARDADO)


Es un biestable que se emplea para dar lugar a un retardo. Se obtiene a partir del biestable
J-K aadiendo un inversor entre ambas entradas, de manera que la entrada K sea la
complementaria de la J. As, el biestable tipo D dispone de una entrada de seal o dato y una
de reloj o de control, adems, tiene una salida Q que, en algunos casos, se convierte en dos
cuando se incorpora la complementaria (figura 4.13).

Figura 4.13. Biestable D activado por flanco de subida (7474)


Su funcionamiento es muy sencillo:
Cuando en la entrada de control hay presencia de un flanco positivo, la salida
toma el valor que existe en la entrada, o dicho de otro modo, se transfiere el valor
de D a Q.
En ausencia de flancos positivos, la salida se mantiene.
Si PR CL 1
fuerza a Qn 1 Qn 1 1 * , lo que provoca que al volver a
PR CL 0 el resultado sea imprevisible. Por lo tanto, PR=CL=1 se dice que es
una combinacin indeseada.
Si denominamos bit al dato que se va introducir a travs de la entrada D del flip-flop, ste
se transferir a la salida en el prximo impulso del reloj y, por lo tanto, la unidad funcionar
como un elemento que retrasa un bit. Este biestable tiene utilidad en sistemas digitales
sncronos que han de disponer de una seal retardada un ciclo de reloj. Este tipo de biestables
se conocen tambin bajo el nombre de biestables latch" o cerrojo.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg. 8

Estructura y Tecnologa de Computadores

Sistemas secuenciales

4.4.1. Cronogramas del biestable D disparado por flanco


En la figura 4.14 aparecen unos cronogramas de funcionamiento del biestable D disparado
por flanco positivo con entradas asncronas, a modo de ejemplo.

Figura 4.14. Cronogramas de funcionamiento del biestable D disparado por flanco positivo

4.5. EL BIESTABLE T
El biestable tipo T (Toggle) es un biestable que posee una sola entrada y dos salidas
complementarias. Es un biestable que no se fabrica como tal, pero se construye fcilmente a
partir de un biestable J-K, uniendo sus dos entradas (figura 4.15).
La forma de obtener un biestable T activado por flanco es anloga a la de otros biestables
sncronos, una entrada T (las dos entradas del biestable J-K) para datos y la entrada de reloj.
En la figura se representa su esquema junto con su tabla de verdad.

T Qn
0 0
0 1
1 0
1 1

Qn
0
1
1
0

Figura 4.15. Biestable T

4.6. BIESTABLES INTEGRADOS


La figura 4.15 muestra algunos de los biestables integrados de la familia TTL serie 74
disponibles en el mercado.
7476: Doble biestable J-K.
7472: Biestable J-K maestro-esclavo.
74109: Doble biestable J-K disparado por flanco de bajada.
74112: Doble biestable J-K disparado por flanco de bajada.
74175: Cudruple biestable D disparado por flanco.
7474: Doble biestable D disparado por flanco de subida.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg. 9

Estructura y Tecnologa de Computadores

Sistemas secuenciales

Figura 4.15. Biestables integrados

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg. 10

Estructura y Tecnologa de Computadores

Contadores y registros

CAPTULO 5
CONTADORES Y REGISTROS

5.1. INTRODUCCIN
En este captulo se contemplan dos de los circuitos ms importantes utilizados en
los sistemas digitales: los contadores, que cuentan impulsos utilizando un cdigo
determinado y los registros, los cuales almac enan y transfieren datos.

5.2. CONTADORES DIGITALES


Un contador puede ser considerado como un circuito que recuerda el nmero de
impulsos que se le han aplicado. Esencialmente, los contadores digitales son circuitos
formados por cadenas de biestables r ealimentados de diversas formas.
Se puede definir el mdulo del contador como el nmero de estados ( N) por los
que pasa el contador antes de reciclarse (un contador se recicla cuando todos los
biestables que lo componen presentan un 0 lgico a su salida). Tambin se dice que
un contador de N estados es un divisor de frecuencia por N, o simplemente, divisor
por N. Puesto que cada biestable tiene dos estados posibles, un contador de n
n
n
biestables tiene un mximo de 2 estados (2 =N), siendo cada estado una
combinacin diferente de los ceros y unos almacenados en los biestables
individuales.
Los contadores se clasifican en:
Contadores asncronos.
Contadores sncronos.

5.2.1. Contadores asncronos


El contador asncrono es el ms simple y fcil de disear. Se llama asncrono
porque los biestables que lo componen no estn gobernados por el mismo impulso de
reloj, sino que la salida de cada biestable se usa como reloj para el siguiente, es
decir, las entradas de reloj no actan simultneamente sino secuencialmen te.
La figura 5.1 representa un contador asncrono de mdulo 8 (divisor por 8)
formado por tres etapas de biestables J -K activados por flancos de bajada, que usa la
3
mxima capacidad de cuenta (3 biestables, 2 = 8 estados).
G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.1

Estructura y Tecnologa de Computadores

Contadores y registros

La seal de entrada (impulsos a contar) se aplica a la entrada de reloj del primer


biestable y la salida de cada uno de ellos se conecta a la entrada de reloj de la etapa
siguiente. La salida Q 0 se actualiza cuando la entrada de reloj del primer biestable
pasa de nivel alto a nivel bajo, Q 1 se actualiza cuando Q 0 presenta un flanco de
bajada y as sucesivamente. La cuenta se obtiene observando la secuencia de Q 2 Q 1
Q 0 , que completa un ciclo al contar del cero (000) al siete (111) despus de lo cual
vuelve a su estado inicial.

Figura 5.1. Contador asncrono de mdulo 8 formado por biestables J-K:


diagrama lgico y cronograma
Estos contadores se pueden implementar utilizando biestables tipo D activados por flanco
de subida. La figura 5.2 muestra un contador asncrono de mdulo 8.

Figura 5.2. Contador asncrono de mdulo 8 formado por biestables D


Hasta ahora se ha considerado que los biestables usados en los contadores son ideales
en el sentido en que la accin de las entradas activas se refleja instantneamente en las
salidas. En consecuencia se ha considerado que el retardo de propagacin (tpd) entre la accin
de las primeras y el efecto que produce en las segundas es nulo. En realidad, el valor de tpd no
es despreciable en los biestables reales. Ello produce que al conmutar en serie las cadenas de
biestables, estos retardos de propagacin sean aditivos. Esta situacin provoca una relativa
lentitud.
El mayor retardo aparece cuando el ciclo de cuenta termina y comienza uno nuevo. As, en
el caso de un contador asncrono de mdulo 8, el mayor retardo se produce en el paso de 111
G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.2

Estructura y Tecnologa de Computadores

Contadores y registros

a 000, en el que el tiempo de retardo acumulado (Tret) , como puede observarse en la figura
5.3, es igual a:

Tret

3 t pd

Figura 5.3. Efecto del retardo de propagacin

La separacin entre impulsos a contar, es decir, la mxima frecuencia de trabajo del


contador, depende de la suma de los retardos de cada biestable, por lo que en este caso:

fmx

1
Tret

fm x

1
n t pd

1
3 t pd

En general:

Donde n = nmero de biestables del contador


La mxima frecuencia de trabajo del contador adquiere valores relativamente bajos, lo que
supone una limitacin en su utilizacin, cuando la frecuencia de los impulsos a contar crece.
Esta desventaja se supera utilizando los contadores sncronos, caracterizados principalmente
porque sus biestables actualizan sus salidas simultneamente.

5.2.2. Contadores sncronos


Los contadores sncronos se caracterizan porque todos sus biestables estn controlados
por la misma seal de reloj, eliminando as el problema del retardo acumulativo que producen
los contadores asncronos
El diseo de estos contadores, no tan sencillo como el de los asncronos, se realiza a partir
de los siguientes pasos:
Eleccin del nmero de biestables.
Eleccin del biestable, cuyas tablas de excitacin son los siguientes:

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.3

Estructura y Tecnologa de Computadores

Contadores y registros

Biestable R-S
Biestable D
Biestable J-K
Estado Estado Excitacin Estado Estado Excitacin Estado Estado Excitacin
Actual Siguiente requerida actual siguiente requerida actual siguiente Requerida
Qn
Qn+1
Sn Rn
Qn
Q n+ 1
Dn
Q n Q n+1
Jn Kn
0
0
0 X
0
0
0
0
0
0
X
0
1
1 0
0
1
1
0
1
1
X
1
0
0 1
1
0
0
1
0
X
1
1
1
X 0
1
1
1
1
1
X
0

En dichas tablas ocurre que:


-Las variables de entrada son los estados por los que ha de pasar el contador.
-Las variables de salida son las excitaciones de las entradas sncronas de los
biestables.
Mediante los mapas de Karnaugh, se determinan las funciones lgicas simplificadas
que relacionan las anteriores variables.

Ejemplo: disear un contador sncrono para 16 estados utilizando biestables J-K (C.I.
7476).
Como se han de codificar 16 estados se necesitan N = 4 bits (4 biestables). Tomando
como variables de entrada las salidas de los biestables (Q 0,Q1,Q2,Q3) y como variables de
salida sus entradas sncronas (J0K0, J1K1, J2K2, J3K3), la tabla de verdad para este caso ser:
Q3n Q2n Q1n Q0n Q3(n+1) Q2(n+1) Q1(n+1) Q0(n+1)
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
0

0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0

0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0

1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0

J3

K3

J2

K2

J1

K1

J0

K0

0
0
0
0
0
0
0
1
X
X
X
X
X
X
X
X

X
X
X
X
X
X
X
X
0
0
0
0
0
0
0
1

0
0
0
1
X
X
X
X
0
0
0
1
X
X
X
X

X
X
X
X
0
0
0
1
X
X
X
X
0
0
0
1

0
1
X
X
0
1
X
X
0
1
X
X
0
1
X
X

X
X
0
1
X
X
0
1
X
X
0
1
X
X
0
1

1
X
1
X
1
X
1
X
1
X
1
X
1
X
1
X

X
1
X
1
X
1
X
1
X
1
X
1
X
1
X
1

A partir de la tabla de verdad, y mediante los mapas de Karnaugh, se simplifican las


funciones lgicas a aplicar a las entradas de los biestables. As:
J0

K0
Q1Q0

00

01

11

10

00

01

11

10

Q3Q2

Q1Q0

00

01

11

10

00

01

11

10

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Q3Q2

Pg.4

Estructura y Tecnologa de Computadores

J1

Contadores y registros

K1
Q1Q0

00

01

11

10

00

01

11

10

Q3Q2

Q1Q0

00

01

11

10

00

01

11

10

00

01

11

10

J2

Q3Q2

K2
Q1Q0

Q1Q0

00

01

11

10

00

00

01

01

11

11

10

10

00

01

11

10

Q3Q2

J3

Q3Q2

K3
Q1Q0

Q1Q0

00

01

11

10

00

00

01

01

11

11

10

10

Q3Q2

Q3Q2

J0 = K0 = 1
J1 = K1 = Q0
J2 = K2 = Q1 Q0
J3 = K3 = Q2 Q1 Q0
Implementando las funciones simplificadas, se llega al circuito de la figura 5.4.

Figura 5.4. Contador sncrono de 16 estados con biestables J-K


G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.5

Estructura y Tecnologa de Computadores

Contadores y registros

Estos contadores se caracterizan por:


Todos los biestables tienen la misma seal de reloj.
Las funciones lgicas de cada entrada sncrona van aumentando en complejidad a
medida que aumenta el peso del biestable al que se conectan.
El tiempo de retardo de todo el contador coincide con el tiempo de retardo de un solo
biestable:

Tret

t pd

f mx

t ppuerta ; t ppuerta

1
T ret

t pd

Tret

tpd

f mx de un contador asncono.

A continuacin, se vern dos tipos de contadores sncronos que poseen una serie de
caractersticas interesantes:
n

Contador sncrono de mdulo N 2


Contador sncrono reversible (up/down counter)

Contador sncrono de mdulo N

Hasta ahora se han considerado contadores de n biestables que utilizaban todos los
n
estados posibles (2 ). Para un contador de mdulo N que no sea potencia de 2- se deben usar
n biestables, de forma que:
n-1

<N

A continuacin se detalla un ejemplo de diseo de un contador de mdulo 3 para el que


slo se requieren dos biestables, de los que usaremos tres de los cuatro estados que pueden
generar, tal como lo indica la siguiente tabla de verdad:
Q1n Q0n Q1(n+1) Q0(n+1)
0
0
0
1
0
1
1
0
1
0
0
0
1
1
X
X

J1
0
1
X
X

K1
X
X
1
X

J0
1
X
0
X

K0
X
1
X
X

A partir de esta tabla, mediante los mapas de Karnaugh, se simplifican las funciones
lgicas a aplicar a las entradas de cada biestable. Se ha de tener en cuenta que la
combinacin Q1Q0 = 11 no va a darse en ningn momento,. En ese caso J 0, K0, J1 y K1 podran
tomar cualquier valor segn convenga a la hora de simplificar por Karnaugh, por ser funciones
incompletamente definidas.
J0

K0

J1

K1

Q0
Q1

Q0
Q1

Q0
Q1

Q0
Q1

J0 = Q1

K0 = 1

J 1 = Q0

K1 = 1

A partir de las funciones simplificadas, se llega al circuito de la figura 5.5.


G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.6

Estructura y Tecnologa de Computadores

Contadores y registros

Figura 5.5. Contador sncrono de mdulo 3 con biestables J-K y su cronograma

Contador sncrono reversible ascendente/descendente

En algunas aplicaciones, un contador sncrono debe ser capaz de contar tanto en sentido
ascendente como descendente (up/down counter, en ingls). Esto se consigue al introducir una
nueva variable Z, con la que se selecciona el sentido de la cuenta, es decir, si Z=0 cuenta hacia
delante, y si Z =1 cuenta hacia atrs, por ejemplo.
El diseo de un contador reversible es anlogo a los contadores sncronos vistos hasta
ahora. La nica novedad en su diseo es que se ha de incluir la entrada especial en las tablas
de verdad como una variable de entrada.
As y como ejemplo, el diseo de un contador reversible de mdulo 4, se toman como
variables de entrada las salidas de los dos biestables (Q 0Q1) ms la entrada especial Z y como
variables de salida sus entradas sncronas (J 0K0, J1K1). La tabla de verdad para este caso
ser:
Z
0
0
0
0
1
1
1
1

Q1n
0
0
1
1
0
0
1
1

Q0n Q1(n+1) Q0(n+1)


0
0
1
1
1
0
0
1
1
1
0
0
0
1
1
1
0
0
0
0
1
1
1
0

J1
0
1
X
X
1
0
X
X

K1
X
X
0
1
X
X
1
0

J0
1
X
1
X
1
X
1
X

K0
X
1
X
1
X
1
X
1

Simplificando las funciones lgicas a aplicar a las entradas de los biestables, se


obtiene que:
J0 = K0 = 1
J1 = K1 = Q0 Z
La figura 5.6 muestra el circuito del contador reversible de mdulo 4.

Figura 5.6. Contador sncrono reversible de mdulo 4 con biestables J-K


Los cronogramas de este contador para Z=0 y Z= 1 se pueden observar en la figura
5.7.
G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.7

Estructura y Tecnologa de Computadores

Contadores y registros

Figura 5.7. Cronogramas del contador sncrono reversible de mdulo 4

5.2.3. Contadores integrados


La figura 5.8 muestra algunos de los contadores integrados de la familia TTL serie 74XX
disponibles en el mercado.
7492: contador/divisor por doce.
74163: contador binario sncrono de 4 bits.
VCC

Outputs

Input

Riple QA QB QC QDEnable
carry
T
output
Load
Clear
Enable
CK A B C D P

Data Inputs

Outputs

QA

GND

QB

QC
QD

R0(2)
R 0(1)

GND

Outputs

VCC

Input

Reset Inputs

7492

74163
Figura 5.8. Contadores integrados

5.3. REGISTROS
Un registro es un bloque funcional destinado a almacenar o registrar informacin binaria
durante un intervalo de tiempo. As, al igual que un biestable puede almacenar un bit, es decir,
un 1 un 0, un conjunto de diversos biestables constituye, a su vez, un registro de varios bits.
El registro, por lo tanto, es un circuito de memoria temporal con capacidad limitada.
La forma de entregar o extraer informacin de un registro, que puede ser en serie o en
paralelo, da lugar a los distintos tipos de registros. En la primera forma se tendr una sola lnea,
pasando los bits uno tras otro, normalmente en sincronismo con un reloj. En la forma paralelo
habr tantas entradas o salidas como bits tiene el registro.
Cuando, adems de la funcin de memoria, se requiere que dentro del registro se puedan
desplazar los bits de un biestable a otro, se ha de recurrir a los registros de desplazamiento.
Un registro de desplazamiento consta esencialmente de un grupo de biestables
encadenados unos detrs de otros. Por ello se suelen utilizar biestables disparados por flanco
del tipo R-S, J-K o D con la opcin de incorporar las entradas asncronas de PRESET" y

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.8

Estructura y Tecnologa de Computadores

Contadores y registros

"CLEAR". As, cada salida de un biestable es conectada a la entrada del siguiente biestable y
se aplica un impulso de reloj comn a todos haciendo que acten de manera sncrona.
Podemos clasificar los registros de desplazamiento en diferentes tipos:
Transferencia serie a serie (entrada serie, salida serie).
Transferencia paralelo a serie (entrada paralelo, salida serie).
Transferencia serie a paralelo (entrada serie, salida paralelo).
Transferencia paralelo a paralelo (entrada paralelo, salida paralelo).
En los siguientes apartados se vern algunos ejemplos de registros.

5.3.1. Entrada serie - salida serie


En la figura 5.9 se encuentra dibujado un registro de desplazamiento entrada serie - salida
serie a partir de biestables tipo D y sus formas de onda.
As, y como ejemplo, para introducir en el registro el dato 1101, ste debe introducirse en
serie de manera sncrona con el reloj, siguiendo los pasos que se describen:
1.- El registro se encuentra inicialmente borrado (todas las salidas en estado 0).
2.- Cuando aparece el primer flanco ascendente del impulso de reloj (CP 1) en Q0
aparecer el primer bit.
3.- Al aplicar el segundo impulso, el bit en Q0 se transfiere a Q1 mientras el siguiente bit
es transferido a Q0. La operacin contina hasta que la palabra completa presente en
CP4 haya sido desplazada de izquierda a derecha en el registro.
4.- Si los datos deben almacenarse, los impulsos de reloj deben ser detenidos en este
punto.

MSB

LSB

Figura 5.9. Registro de desplazamiento de 4 bits con biestables D:


diagrama lgico y cronogramas
G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.9

Estructura y Tecnologa de Computadores

Contadores y registros

5.3.2. Entrada paralelo - salida serie


En la figura 5.10 se encuentra dibujado un registro de desplazamiento entrada paralelo salida serie a partir de biestables tipo R-S con entradas asncronas. Los bits de datos se
introducen en paralelo mediante las entradas asncronas de PRESET, activas a nivel bajo.

Figura 5.10. Registro de desplazamiento 54/7494 de 4 bits


As, de esta forma, si se introduce el bit menos significativo del dato en P 4, el siguiente
menos significativo en P3, y as sucesivamente, el registro funciona como se describe a
continuacin:
1.- Primero, se borra el registro (todas las salidas de los biestables en nivel bajo)
poniendo la seal de borrado a 0 y, a continuacin, se mantiene a 1. Esta operacin
es independiente del nivel de entrada del impulso del reloj.
2.- Poniendo en la lnea de "enable" (habilitacin) un 1, las puertas NAND permiten la
carga de los datos por las entradas de datos paralelo.
3.- Si ahora PE=0, las entradas asncronas de los biestables estn inactivas.
4.- La informacin se transmitir en serie despus de cuatro impulsos de reloj por el
terminal de salida.

5.3.3. Entrada serie - salida paralelo


Si se desean transferir N bits en serie a salida en paralelo, se usar N biestables en
cascada. Al cabo de N pulsos de reloj, en las salidas Q 1... QN se tendr esos datos. El primer
bit corresponde a la salida QN y el ltimo a Q1.
La figura 5.11 muestra un registro de desplazamiento entrada serie-salida paralelo de 4
bits a partir de biestables tipo R-S con entradas asncronas.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.10

Estructura y Tecnologa de Computadores

Contadores y registros

Figura 5.11. Registro de desplazamiento entrada serie - salida paralelo


con biestables R-S sncronos

Al comienzo, los biestables se inicializan aplicando un 0 en la entrada de borrado, de forma


que las salidas queden todas a 0. Seguidamente, se pone esta seal a 1 manteniendo la
entrada "preset" igual a 1.
Los datos se introducen por una de las entradas (A B), de forma que el otro terminal de
entrada sirve para bloquear la entrada de datos. En efecto, si por este otro terminal se
introducen ceros lgicos, la salida de la puerta NAND proporciona un 1 lgico.
Se aplica el tren de datos en serie y los impulsos sncronos del reloj. El bit menos
significativo de los datos entra en el primer biestable cuando el reloj proporciona un impulso,
despus el 1 se transfiere al siguiente biestable y en la primera entrada (Q 1), aparece un 1,
mientras que el resto de salidas se mantienen a 0.
Cuando ocurre el segundo impulso del reloj, el estado de Q 1 se transfiere al segundo
biestable. Simultneamente, el siguiente bit entra en el primer flip-flop. De esta forma, despus
del segundo impulso del reloj, el bit de cada biestable se transfiere a su seguidor y las salidas
Q1 y Q2 tendrn un 1, mientras que las dems salidas se mantendrn a 0. As, sucesivamente,
irn pasando uno a uno los bits del tren de datos y apareciendo en la salida simultneamente
en forma de cuatro bits.

5.3.4. Registros integrados


La figura 5.12 muestra algunos de los registros integrados de desplazamiento (shift
registers, en ingls) de la familia TTL serie 74XX disponibles en el mercado.
7494: registro de desplazamiento de 4 bits de entrada paralelo y salida serie.
74164: registro de desplazamiento paralelo de 8 bits.
74166: registro de desplazamiento de 8 bits de entrada paralelo/serie y salida
serie.
74194: registro de desplazamiento bidireccional universal de 4 bits.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.11

Estructura y Tecnologa de Computadores

Contadores y registros

Figura 5.12. Cuatro registros integrados de desplazamiento de la familia TTL serie 74XX

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.12

Estructura y Tecnologa de Computadores

Introduccin a los microprocesadores.

CAPTULO 6
INTRODUCCIN
A LA LGICA
PROGRAMADA
MICROPROCESADORES
s

6.1. LGICA CABLEADA Y LGICA PROGRAMADA


La lgica cableada, basada en destinar un circuito a cada aplicacin, aunque resuelve una gran
parte de problemas del diseo digital, tiene algunos inconvenientes:
Los grandes cambios que hay que realizar al tener que modificar el circuito para
adaptarlo a una aplicacin diferente de la que se dise.
Posee una reducida fiabilidad debido al gran nmero de componentes que, en general,
componen un circuito.
Tiene un elevado coste a consecuencia de los componentes usados y la mano de
obra.

En oposicin al concepto de la lgica cableada -un circuito para cada aplicacin- surge el
de la lgica programada, que utiliza una estructura principal para todas las aplicaciones.
Dos de las principales razones por las que surge la lgica programada son las siguientes:
El aumento de la complejidad de los diseos para resolver problemas cada vez ms
complejos mediante lgica cableada.
Los avances tecnolgicos en la fabricacin de circuitos integrados de alta y muy alta
escala de integracin (LSI y VLSI respectivamente), que permite disponer de pastillas
con numerosos componentes, aumentando as su complejidad y capacidad.
La figura 6.1 muestra una mquina basada en la lgica programada a nivel de diagrama de
bloques.

Figura 6.1. Mquina basada en la lgica programada

En las mquinas basadas en la lgica programada, la estructura principal realiza


operaciones que se ejecutan cuando la mquina recibe una instruccin proporcionada
ordenadamente por un programa, denominado software. Dicho programa est constituido por
una secuencia de instrucciones introducidas en la memoria por el programador para indicar al
G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.1

Estructura y Tecnologa de Computadores

Introduccin a los microprocesadores.

microprocesador la secuencia de operaciones a realizar con los datos, que tambin pueden ser
almacenados en la memoria.
Las partes de una mquina basada en la lgica programada, cuyo conjunto conforma el
hardware, son las siguientes:
Unidad Central de Proceso (UCP o CPU: Central Process Unit, en ingls): interpreta
y ejecuta las operaciones bsicas y gobierna el resto de los componentes de la
mquina.
Memoria: es el soporte fsico que contiene las instrucciones del programa y almacena
los datos que procesa y almacena la mquina.
Interfaces de entrada y salida (I/O: input/output, en ingls): son los circuitos que
adaptan la CPU y la memoria a los perifricos.
Perifricos: son dispositivos de comunicacin de la mquina con el usuario y el
mundo exterior
Las ventajas de la lgica programada son las siguientes:
Reduccin de las dimensiones del hardware, al usar circuitos basados en tecnologas
LSI (Large Scale of Integration) y VLSI (Very Large Scale of Integration).
Mayor fiabilidad del sistema al disminuir el nmero de elementos.
Disminucin del coste de los materiales, mano de obra y mantenimiento.
Facilidad de cambios y adaptaciones modificando, no el hardware, sino el software.
Reduccin del tiempo de diseo del circuito como solucin a un problema.

6.2. EL SISTEMA MICROCOMPUTADOR


El sistema microcomputador es un sistema organizado para tratar informacin, cuyo
elemento central es el microprocesador.
La utilidad del microprocesador es nula si no se utiliza en combinacin con dispositivos de
entrada/salida que permitan la adecuada comunicacin con el usuario -y/o el mundo exterior- y
con alguna forma de memoria, que permita una rpida renovacin de los datos y del programa
as como el almacenamiento de los resultados de las operaciones.
En cualquier sistema microcomputador, aunque se puede incrementar la potencia
operativa aadiendo otras unidades, deben existir los elementos que se muestran en la figura
6.2.

Figura 6.2. Elementos bsicos de un sistema microcomputador


G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.2

Estructura y Tecnologa de Computadores

Introduccin a los microprocesadores.

A continuacin se describen estos elementos bsicos de un sistema microcomputador:


CPU o microprocesador. Es el cerebro del microcomputador y su misin,
esencialmente consiste en:
- Controlar el funcionamiento de las dems unidades que integran el sistema.
- Procesar los datos de acuerdo con el programa.
Reloj. Las operaciones implicadas en la ejecucin del programa deben realizarse
de forma ordenada y sincronizada mediante la presencia de un oscilador o reloj,
base que proporciona el impulso de referencia necesario para que el
microprocesador efecte su labor de control y manipulacin secuencial con la
cadencia adecuada.
Memoria. Es la unidad encargada del almacenamiento de la informacin y del
programa a ejecutar. Por tanto, contiene tanto datos como instrucciones.
Existen dos tipos de memorias cuya implicacin funcional dentro del sistema
es distinta:
- ROM (memoria de solo lectura): la informacin contenida en la misma es
fija por lo que su funcin suele ser almacenar de forma permanente
programas de aplicaciones concretas del microcomputador.
- RAM (memoria de acceso aleatorio): los datos pueden ser ledos o
escritos mientras reciben tensin de alimentacin ya que al no recibirla se
pierde el contenido almacenado. Esta memoria se destina para cortos
almacenamientos de datos o resultados que pueden producirse durante
la ejecucin del programa principal que suele estar almacenado en la
ROM.
Interfaz de entrada/salida. Su funcin es canalizar la transferencia bidireccional
de informacin entre el sistema microcomputador y los dispositivos perifricos
exteriores, siendo su actuacin controlada por microprocesador.
Este tipo de interfaces son necesarios porque, en general, la velocidad de
procesado del microprocesador es mucho mayor que la de los perifricos.
Asimismo, las caractersticas de amplitud de tensin y corriente en las
informaciones binarias pueden no coincidir en el microprocesador y en los
perifricos: se produce, por tanto, la necesidad de una adaptacin entre stas.
Por ltimo, el formato en que se representa la informacin puede diferir entre el
microprocesador y los perifricos.
Perifricos. Son los dispositivos por los cuales el programador o el proceso
externo que se est controlando se comunican con el microcomputador. Pueden
clasificarse en dos grupos:
-

Perifricos de comunicacin sistema-usuario: En este grupo se incluyen


los teclados, teletipos, impresoras, dispositivos para la visualizacin, etc.
Perifricos de comunicacin sistema-proceso externo: Son perifricos
usados en el control de procesos industriales como pueden ser: sensores
de magnitudes fsicas (presin, temperatura, humedad, etc.), elementos
de conmutacin (rels, semiconductores de potencia, etc.), y conversores
analgico-digitales
y
digitales-analgicos
que
introducen
al
microprocesador en el campo de la electrnica analgica.

An cabra imaginar un sistema microcomputador ms simple, compuesto nicamente por


reloj, CPU y memoria. Sin embargo, al no poder intercambiar informacin con el exterior, sera
de nula utilidad.
El microprocesador constituye la parte central del sistema microcomputador y es el
encargado de interpretar las rdenes del programa, as como de desencadenar su ejecucin
G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.3

Estructura y Tecnologa de Computadores

Introduccin a los microprocesadores.

controlando a las unidades implicadas, para lo cual precisar de los siguientes canales de
comunicacin:

a) Informacin: bus de datos e instrucciones.


b) Direcciones: bus de direcciones.
c) Control: bus de control.
Un bus puede considerarse como un conjunto de hilos por donde viajan los bits de unas
partes del sistema microcomputador a otras.
La figura 6.3 muestra la configuracin de buses de un sistema microcomputador.

Figura 6.3. Configuracin de buses de un sistema microcomputador

Informacin: bus de datos e instrucciones


La informacin que maneja un sistema microcomputador est constituida por instrucciones
y datos, de forma que las instrucciones comunican al microprocesador lo que debe hacer con
los datos. Si bien la unidad bsica para almacenar y transmitir informacin es el bit en los
sistemas digitales, los bits no son manejados individualmente por el microprocesador, sino por
grupos de longitud finita. Cada uno de estos grupos constituye una palabra.
Es evidente que el microprocesador deber poseer un conjunto de lneas que canalicen la
informacin entre ste y el exterior de forma que si el microprocesador es capaz, por ejemplo,
de procesar palabras de 8 bits (1 byte), el nmero de lneas que permita transferir la
informacin ser de ocho.
Este canal de transmisin es el denominado bus de datos e instrucciones, con sentido de
intercambio bidireccional con todas las unidades del microcomputador, salvo con el bloque de
memoria ROM que slo admite operaciones de lectura. Para este tipo de memoria, su acceso
al bus de datos ser unidireccional. En general, la anchura del bus de datos (nmero de lneas
del bus) coincide con la longitud de palabra del microprocesador.

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.4

Estructura y Tecnologa de Computadores

Introduccin a los microprocesadores.

Direcciones: bus de direcciones


La memoria, que se ocupa del almacenamiento de la informacin, es necesaria si se
pretende que el funcionamiento del microprocesador sea autnomo de forma que al
programador le baste con introducir la informacin en memoria, y el microprocesador se
encargue de tomarla a medida que avanza la ejecucin del programa.
Una vez programado, el microprocesador est en disposicin de trabajar por s solo y de
disponer de cierta informacin, para lo cual habr que dotar al microprocesador de los medios
adecuados para que dicha informacin sea accesible.
La solucin es dotar al microprocesador de un canal, denominado bus de direcciones, con
el que pueda direccionar o llamar a las diversas posiciones de memoria, accediendo el
microprocesador a la informacin slo con llevar al bus de direcciones la posicin que contiene
la palabra a extraer o en donde dicha palabra va a ser depositada. A continuacin se da la
orden correspondiente de lectura o escritura, y la palabra en cuestin pasar al interior del
microprocesador a travs del bus de datos (lectura) o se depositar en la memoria procedente
del microprocesador, igualmente a travs del bus de datos (escritura).
En los microprocesadores de 8 bits (bus de datos), el bus de direcciones suele constar de
16 lneas o bits, cuyo sentido de transferencia es unidireccional: parte del microprocesador
16
hacia las unidades externas. En este caso, el microprocesador ser capaz de acceder a 2 =
65536 posiciones de memoria.
Control: bus de control
La supervisin y control de las unidades complementarias (memoria e interfaces de E/S,
principalmente) corresponde al microprocesador, por lo que existir un determinado nmero de
lneas de control, que partirn del microprocesador y accedern a dichas unidades. Asimismo,
habr otras lneas de entrada al microprocesador que alterarn su comportamiento en
determinadas condiciones (interrupciones).
El conjunto de lneas de control que acceden o parten del microprocesador, se denomina
bus de control, cuyo nmero depende de la constitucin interna de cada microprocesador.
Como se puede observar en la figura 6.3, todos los elementos integrantes del sistema
microcomputador se hallan conectados al bus de datos mediante un buffer triestado. Este
buffer permite desconectar elctricamente cada uno de dichos elementos, de manera que la
transferencia de informacin se produzca sin colisiones entre ellos.

6.3. EL MICROPROCESADOR
Se aplica la denominacin de microprocesador a un circuito integrado LSI o VLSI que
contiene los elementos que constituyen la Unidad Central de Proceso CPU o UCP- de una
mquina programada como la representada en las figuras 6.1 y 6.2. El estudio detenido de este
elemento queda fuera del alcance de este texto.

6.4. MEMORIAS
Se define memoria como el bloque encargado de almacenar la informacin (rdenes,
datos, resultados) puesta en juego dentro del sistema microcomputador.
Las memorias pueden clasificarse, segn el modo de retener la informacin, en:

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.5

Estructura y Tecnologa de Computadores

Introduccin a los microprocesadores.

Voltiles: para que el contenido permanezca memorizado, es necesario una


fuente de alimentacin. Al desconectarla, se pierda la informacin
almacenada. Las memorias RAM pertenecen a esta categora.
No voltiles: la informacin persiste aun desconectando la fuente de
alimentacin de la unidad de memoria, esto es, el contenido es memorizado
sin consumo energtico. Las memorias ROM son ejemplos de lo dicho.

6.4.1. Memorias RAM


Su denominacin responde a la expresin inglesa Random Access Memory, esto es,
memoria de acceso aleatorio. Se trata de una memoria de lectura-escritura ya que admite la
posibilidad de efectuar ambas operaciones.
Una memoria RAM, tal como se ve en la figura 7.4, esta constituida a grandes rasgos por
el bloque de almacenamiento -capaz de almacenar n palabras-, las lneas de entrada de
direcciones, las lneas de entrada/salida de datos y, finalmente, las lneas de control. Por lo
general, la entrada y salida de los datos se efecta sobre un nico bus: el de datos e
instrucciones.
.

Figura 6.4. Estructura bsica de la memoria RAM.

Por lo que respecta al decodificador de direcciones, tiene como misin seleccionar la


palabra a leer o escribir dentro de la matriz de memoria.
Finalmente, el circuito de control genera las rdenes de gobierno internas de la unidad de
memoria a partir de impulsos elctricos exteriores.
En un sistema microcomputador, la memoria RAM se utiliza para las aplicaciones en las
que la informacin debe ser continuamente almacenada y extrada.
Las memorias RAM se clasifican en dos grandes categoras:
RAM estticas. Utilizan biestables como elementos de memoria y almacenan
la informacin hasta que cambia o se desconecta la alimentacin.
RAM dinmicas. La informacin no es retenida de forma esttica, sino que
sta se degrada, desapareciendo al cabo de breves instantes en caso de no
refrescar adecuadamente el contenido por medio del circuito elctrico
correspondiente.
Las diferencias principales entre las memorias RAM dinmicas y las estticas son:

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.6

Estructura y Tecnologa de Computadores

Introduccin a los microprocesadores.

La adaptacin de las memorias dinmicas a un sistema microcomputador es


ms problemtica que con las estticas dado que las primeras precisan de
lgica adicional de refresco.
La densidad de almacenamiento de los circuitos integrados de memoria RAM
dinmica es notablemente superior a las correspondientes estticas.
El consumo de las memorias dinmicas es considerablemente inferior al de
las estticas, debido a que cada celda de memoria esttica est constituida
por un mnimo de 4-6 transistores, mientras que una dinmica puede estar
formada por un solo transistor.
Las memorias RAM estticas son ms rpidas que las dinmicas.
Las memorias RAM dinmicas son ms baratas que las estticas.

6.4.2. Memorias ROM


Las memorias ROM (Read Only Memory, en ingls), son aqullas que permiten
nicamente la operacin de lectura. La informacin registrada en una ROM es permanente.
Se emplean para almacenar programas de aplicacin especfica, que permitirn al
microcomputador ejecutar una tarea especializada.
Asimismo, los sistemas de evaluacin de microprocesadores, y los sistemas de desarrollo
constituidos a partir de microprocesadores llevan un programa denominado Monitor grabado
en una ROM. Este programa, no modificable, permite al microprocesador interpretar
determinadas rdenes y comandos exteriores y ejecutar subrutinas definidas para la
visualizacin de datos, la gestin de interrupciones, etc.
La constitucin tpica de una memoria ROM se muestra en la figura 6.5.

Figura 6.5. Estructura bsica de la memoria ROM


Pueden encontrarse varios tipos de memorias ROM:
Memorias ROM programadas por mscara. Esta memoria de slo lectura
almacena informacin de forma permanente. El contenido de la memoria se
establece durante la fabricacin del circuito integrado y no puede ser alterado
despus.
Se utilizan la tecnologa Bipolar y la tecnologa MOS, cuyo de tiempo de
acceso es superior a las primeras pero que a cambio poseen mayor densidad
de integracin y menor consumo.
Memorias PROM. Estas memorias permiten una nica programacin de
origen, que puede realizar el propio usuario. Por lo general, las PROM son de
tecnologa bipolar.
Memorias EPROM. Son memorias de solo lectura, en su modo operativo
normal, programables elctricamente por el usuario y pueden ser borradas
sometindolas a una radiacin ultravioleta.
G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.7

Estructura y Tecnologa de Computadores

Introduccin a los microprocesadores.

Resultan extremadamente tiles para el diseo de prototipos basados en


microprocesadores, debido a que permiten sucesivas reprogramaciones y a
que se dispone de memorias ROM con una asignacin de terminales
idnticas a las EPROM. De est forma, se posibilita la depuracin del
programa hasta lograr un prototipo definitivo, momento en que se sustituir
por una ROM programada por mscara.
Para reprogramar la memoria, en la parte superior del circuito integrado existe
una abertura que facilita el acceso a la radiacin. Est abertura debe
ocultarse de la luz exterior durante su operacin normal para evitar un posible
borrado accidental.
Memorias EAROM, EEPROM E2PROM. Son memorias de solo lectura
alterables elctricamente. Es decir, se puede escribir en ellas y borrar su
contenido mediante impulsos elctricos.

6.5. PERIFRICOS
Resulta evidente que para que la CPU sea eficaz, necesita de dispositivos que la pongan
en comunicacin con el entorno exterior, pues de nada servira una mquina sumamente
"inteligente" pero incapaz de aceptar datos ni de entregar resultados.
Ahora bien, existen una serie de problemas a la hora de abordar el intercambio de
informacin entre la CPU y los elementos exteriores o "perifricos".
Los perifricos, en su mayora, son dispositivos compuestos por elementos mecnicos
controlados por dispositivos electrnicos con unos modos de funcionamiento muy
diferentes a los de la CPU. Es necesario utilizar dispositivos especficos, circuitos de
interfaz o de entrada/salida, para gobernar los perifricos.
La velocidad de transferencia de informacin de los perifricos generalmente es muy
inferior a la velocidad de transferencia entre la memoria y la CPU y a la velocidad de
ejecucin de instrucciones de esta ltima. Estas circunstancias exigen la presencia de
mecanismos de sincronizacin entre los dispositivos perifricos y la CPU, a cargo de
los mencionados circuitos de interfaz o de entrada/salida.
Las unidades mnimas de transferencia de informacin que emplean perifricos y CPU
son tambin diferentes.
Los circuitos de interfaz son elementos hardware que ayudan a la CPU a desarrollar y
agilizar su labor.
La clasificacin de los circuitos de interfaz depende de la funcin que realizan:
Circuitos de interfaz de unidades internas del ordenador. Gestionan operaciones
que, si no las realizaran ellos, sera la CPU la que debera realizarlas. A este grupo
pertenecen:
- Circuitos de interfaz de acceso directo a memoria (DMA controller, en ingls)
con el que la CPU se descarga de sus funciones de control. Mientras la
memoria realiza un intercambio de informacin con un perifrico, la CPU
continua ejecutando el proceso encomendado por el programa.
- Circuitos de interfaz de interrupciones al que llegan todos los sucesos
externos a la CPU producidos por los dems controladores de perifricos. A
estos sucesos se les denomina "interrupciones", las cuales provocan que la
CPU detenga el proceso que est realizando y atienda a los requerimientos
del circuito de interfaz del perifrico que la ha emitido.
Circuitos de interfaz de unidades perifricas. Compatibilizan los elementos que
componen los perifricos con los elementos electrnicos del ordenador. Entre este
segundo grupo cabe citar:
G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.8

Estructura y Tecnologa de Computadores

Introduccin a los microprocesadores.

Circuitos de interfaces serie e interfaces paralelo.


Circuitos de interfaz de unidades de discos flexibles y unidades de disco duro.
Circuitos de interfaz de teclado.
Circuitos de interfaz de comunicaciones.

Dada la gran variedad de circuitos de interfaz de unidades perifricas, resulta


imposible una descripcin detallada de los mismos, pero en resumen cabe destacar
que su misin es la de gestionar la comunicacin del perifrico con la CPU.

6.6. APLICACIONES DE LOS MICROPROCESADORES


El microprocesador se ha convertido en el principal componente en los sistemas
electrnicos debido a que su naturaleza programable le da flexibilidad suficiente para preparar
diversas funciones con los mismos elementos.
La siguiente lista abarca al campo que se aplican microprocesadores.
Consumibles electrnicos
- Calculadoras
- Relojes digitales
- Instrumentos de control
- Juegos de vdeo
- Sistemas de seguridad domstica
- Gestin de energa domstica
- Sistemas de entretenimiento domstico
- Electrodomsticos
Comercio e industria
- Control de mquinas y procesos
- Control de trfico
- Navegacin
- Sistema de aterrizaje automtico
- Inyeccin electrnica de carburante
- Control de emisiones (polucin)
- Comunicacin de datos
- Sistemas del automvil (ABS, EDS,)
Instrumentacin
- Equipos de auto-calibrado
- Equipos de gobierno de radiaciones
- Sistemas de anlisis de laboratorio
- Registradores de datos
- Aparatos para diagnsticos
- Instrumentos mdicos
- Sistemas de seguridad y deteccin de incendios
Oficinas y negocios
- Terminales de las redes de ordenadores
- Terminales en puntos de venta
- Terminales de las reservas
- Terminales de control de almacenes e inventario
- Equipos automticos de bancos
- Fotocopiadoras

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.9

Estructura y Tecnologa de Computadores

Introduccin a los microprocesadores.

6.7. BREVE RESEA SOBRE LA EVOLUCIN HISTRICA DE LOS


MICROPROCESADORES: LA FAMILIA INTEL
La firma pionera en el desarrollo de microprocesadores fue INTEL, con el 4004, fabricado
en 1971 en PMOS con 45 instrucciones y un bus de datos de 4 bits. En el mismo ao, INTEL
lanz el 8008 de 48 instrucciones, el primer microprocesador de 8 bits, diseado para su
interconexin con unidades de memoria RAM y ROM estndar. Pero para obtener un
microcomputador completo hubo que esperar hasta 1973 donde INTEL lanzara el primer
microprocesador de la segunda generacin, el 8080, referencia obligada de los
microprocesadores de 8 bits con 48 instrucciones del 8008 ms 24 adicionales, precisando de
tres tensiones de alimentacin: 5V, -5V y 12V.
Posteriormente, otros fabricantes lanzaron microprocesadores, unificando la tensin de
alimentacin a 5V para todos ellos. Tal es el caso del 6800 de Motorola, el 6502 de Rockwell, el
Z-80 de Zilog y el 8085 de INTEL.
En la tabla 6.1 se muestra la evolucin histrica de los microprocesadores fabricados por
INTEL hasta 1993.
Modelo B. Da.

B. Di.

F. mx.

Ao

80850**

08

16

0.3 MHz

1976

80860**

16

20

4,77 MHz

1978

80880**

08

20

4,77 MHz

1978

80286**

16

24

,012 MHz

1984

80386*

32

32

,040 MHz

1985

80486

32

32

,050 MHz

1989

Pentium

64

32

,300 MHz

1993

Leyenda de la tabla:
B. Da. = anchura del bus de
datos.
B. Di. = anchura del bus de
direcciones.
F. mx. = frecuencia del reloj
interno.
Ao
= ao de aparicin

Tabla 6.1. Evolucin histrica de los microprocesadores fabricados por INTEL hasta 1993

G. Nofuentes/J. de la Casa/C. Rus/J. E. Delgado

Pg.10

You might also like