You are on page 1of 26

DEPARTAMENTO DE ELECTRICA Y ELECTRONICA

DISEO VLSI
INFORME DE TRABAJO DE INVESTIGACION
Autoras y autores:
Nombre completo: Claudia Maricela Anaguano Lamia.
Firma:

Nombre completo: Dennys Segundo Aucancela Arvalo


Firma:

Nombre completo: Lisseth Marilyn Rogel Coronel


Firma:

Fecha:

Nombre completo: Diana Carolina Ynez Villamarn


Firma:

20 de Febrero del 2015


NRC y Cdigo de curso:
2232 - VLSI4

INFORME
Objetivos

Mx.
15

Explicacin de la actividad (a. Marco terico, b. Diagramas,


explicacin de cdigo, proceso de configuracin, ejemplos,
ejecucin, instalacin de prerrequisitos, c) manual de usuario y
resumen)
Conclusiones
Recomendaciones
CD (formato de portada y contenidos)
Artculo
Cumplimiento de formato
TOTAL
EQUIVALENCIA

40
15
5
5
10
10
100
1,5

DEFENSA

Mx.

Exposicin (Expresin corporal, verbal, y empleo de recursos )


(NOTA: las presentaciones se realizarn con PREZI)
25
Congruencia con el informe
15
Cumplimiento de requisitos
50
Video resumen
10
TOTAL
100
EQUIVALENCIA
2,5
NOTA DE TRABAJO DE INVESTIGACION
Informe
Defensa
TOTAL

Mx.
1,5
2,5
4

Nota:
Para ser aceptado el informe debe estar debidamente firmado por cada uno de los
integrantes. La presente matriz de evaluacin debe ser llenada con esferogrfico azul.
Observaciones:

Contenido
1.

PLANTEAMIENTO DEL PROBLEMA...........................................................5

2.

OBJETIVOS............................................................................................... 5
2.1

GENERAL...................................................................................................................5

2.2

ESPECIFICOS.............................................................................................................5

MARCO TERICO..................................................................................... 5

3.

3.1

Redes Neuronales........................................................................................................5

3.1.1

Funcionamiento de la red neuronal........................................................6

3.1.2

Aplicaciones de Redes Neuronales artificiales..........................................7

3.1.3

Elementos de una red neuronal.............................................................7

3.1.4

Elementos de entrada y salida...............................................................7

3.1.5

Por qu se estudian las redes neuronales?...............................................8

3.1.6

Topologas de Redes Neuronales...........................................................8

3.1.7

Neuronas biolgicas vs neuronas artificiales............................................9

3.1.8

Neuronas artificiales........................................................................10

3.1.9

Aprendizaje en las neuronas artificiales.................................................11

3.1.10

Modelamiento de una neurona artificial................................................13

3.2

Parmetros de un perceptrn que realiza la compuerta OR.................................15

DIAGRAMAS........................................................................................... 16

4.

4.1

Diagramas de bloques..............................................................................................16

4.2

Diagramas UML. (Casos de uso).............................................................................17

4.3

Diagramas elctricos.................................................................................................17

4.4

Diagramas esquemticos..........................................................................................18

5.

LISTA DE COMPONENTES.......................................................................18

6.

MAPA DE VARIABLES.............................................................................. 18

7.

EXPLICACIN DEL CDIGO FUENTE......................................................19

8.

DESCRIPCIN DE PREREQUISITOS Y CONFIGURACIN..........................19

9.

CONCLUSIONES..................................................................................... 20

10.

RECOMENDACIONES..........................................................................20

11.

CRONOGRAMA.................................................................................... 20

12.

BIBLIOGRAFIA.................................................................................... 21

13.

ANEXOS.............................................................................................. 21

13.1

MANUAL DE USUARIO.........................................................................................21

MANUAL DE USUARIO.................................................................................. 21

REDES NEURONALES
1. PLANTEAMIENTO DEL PROBLEMA
Las redes neuronales artificiales constituyen modelos de comportamiento inteligente
que pueden ser construidos como sistemas artificiales basados en el sistema nervioso de
seres vivos pues presenta caractersticas similares a las del cerebro. Por ejernplo, son
capaces
de aprender de la experiencia, de generalizar de casos anteriores a nuevos casos.
Las aplicaciones de sistemas basados en redes neuronales han permitido disear redes
con propsitos especficos como el reconocimiento de patrones buscando solucin a
sistemas complejos, es as que el presente trabajo esta enfocado a conocer el
funcionamiento de una red neuronal, sus pricipanles caractersticas estructura, las
categoras que maneja y presentar un ejemplo implemetado mediente un el lenguaje
descriptor VHDL a travs ISE Xilinx.

2. OBJETIVOS
2.1

GENERAL.

2.2

Conocer el funcionamiento de una red nerunal e implemetar un ejemplo prctico


en VDHL.
ESPECIFICOS.

Comprender el funcionamiento y la estructura de una red neuronal.


Definir los pasos a seguir para la implementacin de una red neuronal en
lenguaje VHDL para una FPGA SPARTAN 3E-500.
Implementar un ejemplo del funcionamiento de una red neronal para el caso de
una compuerta or.

3. MARCO TERICO
3.1

Redes Neuronales

Las redes neuronales son modelos de cmputo paralelo que se conocen en ingeniera
con el nombre de redes neuronales artificiales, estas son modelos de comportamiento
inteligente que pueden ser construidos como sistemas artificiales inspirados en el
sistema nervioso de seres vivos.

Se basan en una representacin simplificada de estructura y funcionamiento del sistema


nervioso, ya sea simulado en software o construido en hardware. Se deben entrenar
mediante ejemplos conocidos hasta que son capaces de asociar patrones de entrada con
respuestas definidas sin necesidad de una programacin explcita para un patrn en
particular.

Las aplicaciones de sistemas basados en redes neuronales han permitido disear redes
con propsitos especficos como el reconocimiento de patrones. Este esquema es
novedoso en el rea de la computacin y es muy interesante dado que una computadora
digital, aun la ms sencilla, supera la velocidad y precisin del cerebro en la relacin de
operaciones numricas; aunque las operaciones como reconocimiento de patrones,
memoria asociativa y en general todas las relaciones con el comportamiento inteligente
parecen imposibles de alcanzar mediante las concepciones computacionales
tradicionales de la computacin incluyendo el campo de la inteligencia artificial.

Figura 1. Estructura jerquica de un sistema de Redes Neuronales Artificiales


3.1.1

Funcionamiento de la red neuronal

Para un mejor entendimiento del funcionamiento de las redes neuronales artificiales,


podemos establecer que estas se basan en las redes neuronales del sistema nervioso. De
manera general los seres vivos tienen receptores que permiten percibir emociones o
situaciones y aprender de ellas.
En funcin del desarrollo de las redes neuronales, se han desarrollado circuitos
electrnicos que pueden aprender de manera similar a como lo hacen los seres vivos.
Por lo tanto al igual que en una neurona, en las entradas de los circuitos elctricos existe
un estado alto o un bajo (0 o 1), las mismas que se acumulan y se procesan por medio de
una funcin de activacin que determina la respuesta de la neurona. De manera anloga
a las neuronas biolgicas, en los circuitos electrnicos la comunicacin se establece
mediante un pulso electrnico.
De manera general el funcionamiento de las redes neuronales se basa en que la
informacin codificada en forma de vector de entrada controla la red y despus de cierta

cantidad de intentos de comparacin entre patrones, sta produce un vector de salida


que es la mejor solucin que se encuentra en la conversin del vector de entrada.
3.1.2

Aplicaciones de Redes Neuronales artificiales

Las aplicaciones de redes neuronales y de la computacin son abundantes, entre las que
se destacan:

Aplicacione
s RNA

3.1.3

Procesamiento de Seales o reconocimiento


de patrones.

Extraccin de caractersticas.

Inspeccin Industrial.

Pronstico de negocios.

Clasificacin de crditos.

Seleccin de seguridad.

Diagnstico mdico.

Procesamiento de voz.

Comprensin de Lenguaje natural.

Control de robots.

Elementos de una red neuronal.

Las redes neuronales artificiales constan de elementos de procesamiento y conexin de


pesos. La cantidad de stos depende de la implementacin y diseo de la red. Otros
elementos que intervienen dependiendo de la aplicacin son las funciones
preestablecidas de los vectores de entrada y los vectores de salida.
3.1.4

Elementos de entrada y salida.

En la figura 1 se observa la estructura de una red neuronal artificial. Consta de ncleo o


nodo, entradas y salidas. La entrada es una seal de voltaje aplicada a un nodo, la cual
puede ser una salida. Esta ltima es la respuesta de la neurona artificial. El ncleo o
nodo suma las seales de entrada, las procesa y da una respuesta.

Figura 2. Red neuronal artificial.


En la figura 3 se presenta la estructura bsica de una neurona artificial, donde las
entradas se representan con las variables X1 y X2. La salida por otro lado se representa
por la variable Y. Para este caso se presenta una sola variable aunque es posible tener
varias salidas las cuales se denotan en forma de vector, de manera similar a las entradas.
Los pesos conectados entre los niveles de la red son almacenados en un arreglo
matricial denominada matriz de ponderaciones o pesos, representada generalmente por
una letra w. Estos pesos sirven para modificar los valores que circulan en las conexiones
entre los niveles de la red neuronal.

Figura 3. Estructura bsica de una neurona artificial.


3.1.5

Por qu se estudian las redes neuronales?

Las redes neuronales son importantes de estudiar por las siguientes razones:

3.1.6

Son dispositivos computacionales extremadamente potentes.


El masivo paralelismo hace de las redes neuronales muy eficientes.
Pueden aprender y generalizar desde datos de entrenamiento, por lo tanto no
necesitan grandes hazaas de programacin.
Son particularmente tolerante a fallas, lo que lo hace equivalente a la
degradacin agraciada encontrada en sistemas biolgicos.
Son bastante tolerantes al ruido, las redes neuronales pueden hacer frente a
situaciones donde sistemas simblicos normales tendran dificultades.
Topologas de Redes Neuronales

En la figura 4 se presentan las topologas presentes en las redes neuronales.

Capa
Simple:
Una capa
de
entrada y
una de
salida de
las
unidades
de
MultiCapa
procesam
procesam
:Una
ientode
capa
entrada,
una de
salida, y
una o
m s
ms
capas
ocultas
de las
unidades
de
procesam
procesam
iento.

Red
Recurrent
e:
Cualquier
red con al
menos
una
conexin
de
retroalim
retroalim
entacin

Figura 4. Topologas de Redes Neuronales.

3.1.7

Neuronas biolgicas vs neuronas artificiales

Figura 5. Comparacion neurona biologica y neurona artificial


Funcionamiento de las Neuronas Biolgicas.

Las neuronas biolgicas codifican sus activaciones y salidas como una serie
de breves pulsos elctricos.
El cuerpo de la neurona procesa las activaciones de entrada y las convierte
en activaciones de salida.
Las neuronas biolgicas se componen de: Sinapsis, dendritas, axones y
cuerpos
Las dendritas son fibras que emanan del cuerpo de la clula y proveen la
zona receptiva que recibe la activacin de los axones de otras neuronas.
Los axones son fibras actuando como lneas de transmisin que envan
activaciones a otras neuronas.

3.1.8

La unin permite que la seal de transmisin entre los axones y las dendritas
son llamadas sinapsis.
Una neurona no hace nada a menos que la influencia colectiva de todas sus
entradas alcance un nivel de umbral, ah produce una salida que consiste en
un pulso estrecho que se desplaza del cuerpo de la clula, por el axn, hasta
las ramas de este, en este caso se dice que la neurona se dispara. Debido a
que la neurona se dispara o no hace nada, se dice que es un dispositivo todo
o nada

Neuronas artificiales
Constituyen unidades de procesamiento comparables con dispositivos simples de
clculo que bien, a partir de un vector de entrada procedente del mundo exterior,
bien a partir de estmulos recibidos de otras neuronas; proporciona una respuesta
nica (salida)
Las neuronas artificiales es un nodo conectado con otros mediante enlaces que
corresponden a conexiones axn-sinapsis-dendrita, a cada enlace est asociado
un peso, que determina la naturaleza e intensidad de la influencia de un nodo
sobre otro

Un conjunto de sinapsis en una neurona artificial son las conexiones que


trae las activaciones de otras neuronas.

La unidad de procesamiento suma las entradas y luego se aplica una


funcin de activacin no lineal (Ej Transferencia, funcin de umbral)

Una lnea de salida transmite el resultado a otras neuronas.

En estas neuronas:
o Los pesos modelan propiedades de las sinapsis
o Los sumadores modelan la capacidad de combinar influencias de
las dendritas.
o La funcin de activacin no lineal modela la caracterstica de
todo o nada que imponen los mecanismos electroqumicos en el
cuerpo de la clula.

Figura 6. Neurona biologica analoga con neurona artificial

3.1.9

Aprendizaje en las neuronas artificiales.

3.1.9.1 Neurona hebbiana


En 1949, Donald Hebb mencion la primera regla de aprendizaje para una red neuronal
artificial, la cual establece que cuando una neurona estimula otra, la conexin entre
ellas se refuerza.

Figura 7. Ecuaciones caractersticas y modelo de una neurona Hebbiana.


En la figura 7 se tienen las ecuaciones generales y el modelo de una neurona hebbiana.
El funcionamiento de sta es muy sencillo. La neurona artificial calcula la entrada
ponderada I.

(1)
Donde w es la matriz de pesos, x es el vector de entrada y b es una constante
inicializada en 1.
Si el valor de la entrada ponderada es mayor o igual a cero, la salida de la neurona es
igual a +1; si es menor a cero, la salida es 1.

(2)
Toda red neuronal se debe entrenar para que responda como se desee. En otras palabras,
es necesario calcular el vector de ponderaciones (pesos) w que le permita comportarse
de acuerdo con la informacin de entrada. El proceso de entrenamiento para una
neurona hebbiana es muy simple. Basta cambiar el vector de ponderaciones w, por cada
patrn de entrenamiento, de acuerdo con la siguiente regla hebbiana:

(3)
3.1.9.2 Algoritmo de entrenamiento de una neurona Hebbiana

Inicializar el vector de ponderaciones w en cero.


Para cada patrn de entrenamiento:
{
Igualar el vector de entrada x con el patrn de entrenamiento actual.
Igualar la salida y con la salida deseada;
Ajustar el vector de ponderaciones w como
Nuevo w = anterior w + x y;
Ajustar
Nuevo b = anterior b + y;
}

3.1.9.3 Perceptrn
Warren S. McCulloch y Walter Pitts desarrollaron la red neuronal perceptrn en 1943
proponiendo las ecuaciones generales y el diagrama de la figura 8.

Figura 8. Ecuaciones generales y modelo del perceptrn.


El funcionamiento del perceptrn es muy simple. La neurona suma las seales del
vector de entrada x, multiplicadas por el vector de ponderaciones (pesos) w, lo que da la
entrada ponderada .

(4)
La ecuacin compara la seal con un valor de umbral 0. Si es mayor, la salida es +1. De
lo contrario, es -1.

(5)

Para entrenar la red, se escogen valores de x como entrada, llamados patrones de


entrenamiento. Por cada patrn de entrenamiento se calcula si la salida del perceptrn y
es correcta o incorrecta, con lo que se obtiene f.

(6)
Por cada iteracin el vector de ponderaciones w cambiar de acuerdo con la ley de
Rosenblatt.

(7)
3.1.9.4 Algoritmo de entrenamiento del perceptrn
Para cada patrn de entrenamiento
{
Calcular las entradas I;
Calcular la salida del perceptrn y;
Si es correcta, entonces
{
Si la respuesta es + 1, entonces nuevo w = anterior w + el patrn de entrada actual;
Si la respuesta es -1, entonces nuevo w = anterior w - el patrn de entrada actual;
}
Si es incorrecta, entonces
{
Si la respuesta es +1, entonces nuevo w = anterior w - el patrn de entrada actual;
Si la respuesta es -1, entonces nuevo w = anterior w + el patrn de entrada actual;
}
3.1.10 Modelamiento de una neurona artificial
En la siguiente figura se representa una neurona "artificial", que intenta modelar el
comportamiento de la neurona biolgica. Aqu el cuerpo de la neurona se representa
como un sumador lineal de los estmulos externos zj, seguida de una funcin no lineal
yj = f(zj). La funcin f(zj) es llamada la funcin de activacin, y es la funcin que
utiliza la suma de estmulos para determinar la actividad de salida de la neurona. Este
modelo se conoce como perceptrn de McCulloch-Pitts.

Figura 9. Relacin matemtica para aprendizaje.


Un arreglo de entradas y salidas de neuronas McCulloch-Pitts forman el perceptron.

Figura 10. Ecuacin para el entenamiento bsico de una red

3.1.10.1 El umbral como otro parmetro de peso


Para simplificar las matemticas si podramos tratar al umbral como otro peso de la
conexin, simplificando as al perceptrn bsico.
Ya que la salida es la suma de entradas multiplicada por los pesos de cada una de estas,
y menos el valor del umbral, si se considera al mismo como un tipo especial de peso
cuyo valor es el valor negativo del umbral y su entrada es insertada con el valor de
siempre 1, de esta manera se justifica que el umbral es un tipo especial de peso.

i w ij j =1 w1 j + 2 w 2 j ++ n wnj j
i=1

Se define w oj = j e 0=1 entonces se tiene

(7)

i=1

i=0

i w ij j =1 w1 j + 2 w 2 j ++ n wnj +0 w0 j= i w ij (8)

Figura 11. El peso del umbral.

3.2

Parmetros de un perceptrn que realiza la compuerta OR


Out=sgn(w1*in1+w2*in2-)

Figura 12. Perceptrn de la compuerta OR.

(9)

1=0 2=0

w 1 ( 0 ) +w 2 ( 0 ) 0
0

1=0 2=1
w 1 ( 0 ) +w 2 ( 1 ) 0
w 2

1=1 2 =0
w 1 ( 1 )+ w 2 ( 0 ) 0
w 1

1=1 2 =1
w 1 ( 1 )+ w 2 ( 1 ) 0
w 1+w 2

Para que la compuerta OR sea vlida, tiene que ser mayor que cero, asi como w1 y
w2 que tienen que ser mayor

4. DIAGRAMAS
4.1

Diagramas de bloques.

Figura 13. Diagrama de bloques.

4.2

Diagramas UML. (Casos de uso)

Figura 14. Diagrama UML de casos de uso


4.3

Diagramas elctricos.

Figura 15. Diagrama elctrico.

4.4 Diagramas esquemticos.

Figura 16. Diagrama esquemtico.

5. LISTA DE COMPONENTES
Hadware

Computador

FPGA SPARTAN 3E-500

Software

Windows 7

ISE Desing Suite 14.1


Xilins PlanAhead 14.1
Prezi
Camtasia Studio 2

6. MAPA DE VARIABLES
Tipo

Nomb
re

INTEGER RANGE
0 TO 1
INTEGER RANGE
0 TO 1
INTEGER RANGE
0 TO 1
INTEGER

in1
in2
salida
w1

INTEGER

w2

INTEGER

teta

Descripcin

Dimens
in

Direccin
Asignada

Entero para entrada 1 a la


compuerta OR
Entero para entrada 2 a la
compuerta OR
Entero para seal de salida
de la compuerta OR
Peso de la entrada 1 al
perceptrn

P75

P74

P69

Peso de la entrada 2 al
perceptron
Valor del umbral del
perceptron

7. EXPLICACIN DEL CDIGO FUENTE

Explicacin del cdigo


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_unsigned.all;
entity red_OR is
Port ( in1,in2 : in INTEGER RANGE 0 TO 1;
salida : out INTEGER RANGE 0 TO 1);
end red_OR;
architecture Behavioral of red_OR is
Begin
process (in1, in2)
constant w1: integer:=1;
constant w2: integer:=1;
variable teta: integer;

: Declaracin de Librera IEEE.


: Inclusin de la librera.
: Inclusin de la librera para
variables sin signo.
: Creacin de vectores de entrada
y salida para el entrenamiento
de la red neuronal.
: Inicio de la arquitectura.
: Inicializacin del proceso
: Declaracin de variables de la
matriz de ponderaciones.
: Declaracin de la variable de

teta := in1*w1 + in2*w2;


if teta>0 then
salida <= 1;
else
salida <= 0;
end if;
end process;
end Behavioral;

8.

umbral begin
: Clculo de la salida de un
entrenamiento
: Comparacin del resultado del
entrenamiento para la asignacin
de su valor a la salida.

DESCRIPCIN DE PREREQUISITOS Y CONFIGURACIN

Para poder desarrollar la investigacin se utiliz una computadora con Windows 7, se


instalo el software ISE Desing Suite 14.1 para la programacin en VHDL y el software
Xilins PlanAhead 14.1 el cual permite grabar el programa en la FPGA.
Nota:

El sistema operativo con Windows 8 permite la instalacin del software ISE


Desing Suite 14.1 y Xilins PlanAhead 14.1, pero no permite desarrollar todas las
funcionalidades de los mismos.
En el caso de tener computadora con sistema operativo MAC, se debe instalar
una mquina virtual con Windows 7.
El grabador de FPGA es plug and play, por lo cual los drivers se instalan
automticamente al conectarse con el computador.

9. CONCLUSIONES

Las funciones de activacin en una red neuronal constituyen una base


fundamental en la calidad de respuesta que se pueda esperar de la red. En ciertos
casos, un anlisis adecuado podra permitir disminuir los recursos necesarios
para implementar una red neuronal.

Lasredesneuronalescomprendenunagranvariedaddeaplicaciones,quevan
desde aplicaciones bsicas como compuertas, reconocimiento de patrones y
muchasms.Estodebidoalaflexibilidadquebrindanylafciladaptacina
aplicacionesparticulares.

Las aplicaciones desarrolladas tienen la capacidad de entrenar y ejecutar


algoritmos a travs de cdigo VHDL, donde el usuario de acuerdo a sus
requerimientosdefineelnmerodecapasyneuronas.

EnbasealaimplementacindelaredneuronaldelacompuertaORparala
resolucin de problemas bsicos, se establece que es posible realizar el
desplieguedeunaredneuronaldegrandesdimensionessinquehayaunaumento

significativoderecursos.

10. RECOMENDACIONES

Es importante en la implementacin redes neuronales en hardware, tener un


balance adecuado entre el uso de recursos y la velocidad de procesamiento, de
tal manera que sea posible implementar una gran variedad de redes neuronales
en el dispositivo FPGA.

Esfundamentallacorrectadefinicindelasvariablesdeentrada,salidayla
matrizdeponderacinomasasdelaredneuronaladesplegar,demaneraquese
alcancensatisfactoriamentelosresultadosesperados.

Es recomendable aprovechar los recursos computacionales para simular el


funcionamientodelaredneuronal,quesecomplementaconelseguimientoy
monitoreodelaaplicacindirectamenteenlaFPGA.

11. CRONOGRAMA

Figura X. Cronograma de Actividades

12. BIBLIOGRAFIA
[1]

Maxinez, D., Alcalaz, J. (2002) VHDL El arte de programar sistemas

digitales. Cap9.
[2]
Sandhya Samarasinghe, (2012) Neuronal Networks for applied sciences
and engineering.
[3]
John A. Bullinaria (2004). Introduction to Neural networks: Lecture 1

13. ANEXOS

13.1 MANUAL DE USUARIO

MANUAL DE USUARIO
1. Iniciar el programa Xilinxs ISE Design Suite. Se desplegar la ventana del
Navegador de Proyectos en la cual se procede a crear un nuevo proyecto
seleccionando la pestaa Archivo y seleccionar Nuevo Proyecto. Elegir el
nombre y la ubicacin del proyecto.
2. Configurar las caractersticas del proyecto de acuerdo al tipo de hardware o
FPGA que se disponga. La figura 1 muestra las configuraciones para una tarjeta
Spartan 3E500.

Figura 1. Configuraciones para una tarjeta Spartan 3E500

3. Clic en siguiente y posteriormente en finalizar.


4. Clic derecho sobre el archivo creado, localizado dentro de la carpeta del
proyecto y seleccionar New Source, como se muestra en la figura 2.

Figura 2. Creacin de nueva fuente.

5. Elegimos VHDL Module.

Figura 3. Eleccin de VHDL Module.

6. Declaracin de variables, damos clic en NEXT y despus en FINISH.

Figura 43. Declaracin de variables

7. Cambiamos en la entidad el tipo de archivos de STD_LOGIC a INTEGER como


se muestra a continuacin, y procedemos a escribir el cdigo para la
implementacin de nuestra compuerta.

Figura 5. Cdigo con las variables creadas.

8. Damos clic en Synthesize-XST y despus Check Sintax para verificar que la


sintaxis del cdigo sea la correcta.

Figura 6. Chequear sintaxis

9. Damos clic en Simulate Behavioral.

Figura 7. Simulate Behavioral

10. Se abrir una nueva ventana en la cual podemos ver los diagramas de tiempo

Figura 8. Ventana de simulacin


11. Damos clic derecho sobre la variable y damos un valor constante

Figura 9. Escribir valores constantes


12. Damos clic en

para correr el programa y verificar el funcionamiento.

Figura 40. Simulacin diagrama de tiempos

You might also like