You are on page 1of 132

UNIVERSIDADE DE PASSO FUNDO

FACULDADE DE ENGENHARIA E ARQUITETURA


CURSO DE ENGENHARIA ELÉTRICA

ESTUDO SOBRE SDR (SOFTWARE DEFINED RADIO):


UMA APLICAÇÃO

Disciplina: Projeto de Graduação


Acadêmico: Leonardo Perera Cassol
Professor: Carlos Allan Caballero Petersen

Passo Fundo, dezembro de 2009.


Leonardo Perera Cassol

Estudo sobre SDR (Software Defined Radio):


uma aplicação

Trabalho apresentado ao curso de Engenharia


Elétrica, da Faculdade de Engenharia e
Arquitetura, da Universidade de Passo Fundo,
como requisito para obtenção do título de
Engenheiro Eletricista, sob orientação do Prof.
Dr. Carlos Allan Caballero Petersen.

Passo Fundo, 2009


Leonardo Perera Cassol

Estudo sobre SDR (Software Defined Radio):


uma aplicação

Banca Examinadora:

____________________________________________________
Professor Dr. Carlos Allan Caballero Petersen – UPF – Orientador

__________________________________________________
Professor Dr. Paulo Sérgio Corrêa Molina – UPF – Examinador

___________________________________________________
Professora Dra. Blanca Rosa Maquera Sosa – UPF - Examinador

Passo Fundo, 2009


Dedico este trabalho aos meus pais
Hélio e Marizete que tanto lutaram
pela minha educação e pelo apoio
que me deram durante toda a
caminhada no curso de Engenharia
Elétrica, a minha irmã Suelen por
toda a compreensão e a minha
namorada Gabriela pelo
companheirismo, paciência,
compreensão e apoio durante a
realização deste trabalho.
AGRADECIMENTOS

Primeiramente a Deus, por me dar força, coragem, sabedoria e oportunidade. Aos


meus pais por estarem sempre do meu lado me dando forças para prosseguir, principalmente
nos momentos em que minhas forças já não existiam mais, aos meus amigos particulares e da
graduação por termos dividido nestes anos de graduação nossas tristezas, alegrias, angústias,
dúvidas e vitórias. A todos os professores do curso de Engenharia Elétrica da Universidade de
Passo Fundo pela transmissão de seus conhecimentos. Um agradecimento especial ao
professor Carlos, professor orientador deste trabalho por ter acreditado no meu potencial e ter
me auxiliado na realização do mesmo. Enfim, a todos que de uma forma ou outra
contribuíram para a realização deste trabalho e pela minha formação acadêmica.
“Não cruzes os braços diante de
uma dificuldade, pois o maior
homem do mundo morreu de
braços abertos!”

Bob Marley
RESUMO

A tecnologia SDR (Software Defined Radio) ainda é algo novo e, particularmente no


Brasil, poucas instituições dedicam-se ao seu estudo. Idealmente, ter-se-ia uma arquitetura
única, digital, responsável por todo o processamento de sinais do rádio, desde os sinais de
rádio-freqüência até os sinais de controle.
A concepção de um SDR consiste basicamente na substituição dos módulos de
hardware por software, tornando o rádio bastante flexível aumentando conseqüentemente sua
empregabilidade. Muitas vantagens podem ser obtidas indiretamente com esta substituição,
como o aumento da autonomia da bateria e a diminuição do peso, particularmente a aqueles
destinados a serem portáteis.
Este projeto teve por finalidade o estudo sobre os SDR’ s e suas respectivas formas de
demodulação de sinais de radio freqüência bem como o desenvolvimento de uma aplicação de
um SDR definido por um receptor de sinais de radio freqüência (RF) modulados em
amplitude (AM - amplitude modulation) para operar na faixa de freqüências de 530 kHz a
1600 kHz, sendo a sintonia realizada via software através de um microcomputador bem como
a utilização do sistema de áudio do mesmo para reprodução dos sinais de banda base.

Palavras-chave: SDR, receptor de sinais AM, demodulação.


LISTA DE FIGURAS

FIGURA 1 - DIAGRAMA DE BLOCOS DE UM RECEPTOR SUPER-HETERÓDINO.[9] ............................ 16


FIGURA 2 - DIAGRAMA DE BLOCOS DE UM RECEPTOR DE CONVERSÃO DIRETA.[5] ..................... 17
FIGURA 3 - PRINCÍPIO DE FUNCIONAMENTO DE UM SDR. ..................................................................... 19
FIGURA 4 - ESPECTRO DA BANDA RECEBIDA EM UM SDR.[9]............................................................... 20
FIGURA 5 - DIAGRAMA DE BLOCOS DE UM MISTURADOR EM QUADRATURA.[6] ........................... 23
FIGURA 6 - CIRCUITO BÁSICO DE UM DETECTOR POR AMOSTRAGEM EM QUADRATURA.[6] ..... 24
FIGURA 7 - CIRCUITO DO DETECTOR DE PRODUTO DE TAYLOE.[2] .................................................... 25
FIGURA 8 - CIRCUITO DO DETECTOR DE PRODUTO DE TAYLOE. ........................................................ 27
FIGURA 9 - DIAGRAMA TEMPORAL DE ACIONAMENTO DAS CHAVES ANALÓGICAS. ................... 28
FIGURA 10 - LARGURA DE BANDA DE DETECÇÃO DO DETECTOR DE PRODUTO DE TAYLOE. .... 40
FIGURA 11 - DIAGRAMA DE BLOCOS GERAL DO SISTEMA. ................................................................... 43
FIGURA 12 - CIRCUITO DO FILTRO PASSA-BAIXAS. ................................................................................. 46
FIGURA 13 - SÍMBOLO LÓGICO E TABELA DE ACIONAMENTO DO CI 74HC4066. .............................. 52
FIGURA 14 - DIAGRAMA LÓGICO E TABELA FUNCIONAL DO CI 74HC4017N. .................................... 53
FIGURA 15 - CIRCUITO DO DETECTOR DE PRODUTO DE TAYLOE. ...................................................... 55
FIGURA 16 - CIRCUITO DE UM AMPLIFICADOR DIFERENCIAL.............................................................. 58
FIGURA 17 - CIRCUITO DOS AMPLIFICADORES DIFERENCIAIS............................................................. 60
FIGURA 18 - CIRCUITO DE DESLOCAMENTO DE FASE. ........................................................................... 62
FIGURA 19 - CIRCUITO DESLOCADOR DE FASE E SOMADOR. ............................................................... 64
FIGURA 20 - DIAGRAMA TEMPORAL DO PROTOCOLO DE COMUNICAÇÃO SPI. ............................... 69
FIGURA 21 - FLUXOGRAMA DE INICIALIZAÇÃO DO AD9833. ................................................................ 74
FIGURA 22 - FLUXOGRAMA DE ESCRITA NO AD9833............................................................................... 74
FIGURA 23 - CIRCUITO DO OSCILADOR LOCAL. ....................................................................................... 75
FIGURA 24 - CIRCUITO DO MICROCONTROLADOR. ................................................................................. 77
FIGURA 25 - DIAGRAMA TEMPORAL DE LEITURA NO FT245BL. ........................................................... 78
FIGURA 26 - DIAGRAMA TEMPORAL DE ESCRITA NO FT245BL. ........................................................... 79
FIGURA 27 - CIRCUITO CONVERSOR PARALELO/USB. ............................................................................ 80
FIGURA 28 - TELA DO SOFTWARE DO RECEPTOR AM. ............................................................................ 81
FIGURA 29 - VALOR DA FREQÜÊNCIA DE SINTONIA PARA EXPERIMENTAÇÃO. ............................. 87
FIGURA 30 - SINAIS DE SAÍDA I E Q APÓS OS AMPLIFICADORES DIFERENCIAIS. ............................ 88
FIGURA 31 - SINAIS DE SAÍDA APÓS O CIRCUITO DE DESLOCAMENTO DE FASE. ........................... 88
FIGURA 32 - SINAL DE SAÍDA DE ÁUDIO DO RECEPTOR......................................................................... 89
FIGURA 33 - RESPOSTA EM FREQÜÊNCIA DO HARDWARE DO RECEPTOR. ....................................... 90
FIGURA 34 - RESPOSTA EM FREQUENCIA DO FILTRO PASSA-BAIXAS. ............................................... 94
FIGURA 35 - ACIONAMENTO DAS CHAVES ANALÓGICAS. ..................................................................... 94
FIGURA 36 - SAÍDAS DO DETECTOR DE PRODUTO DE TAYLOE. ........................................................... 95
FIGURA 37 - SAÍDAS I E Q DO DETECTOR DE PRODUTO DE TAYLOE. ................................................. 95
FIGURA 38 - SAÍDA I E Q APÓS CIRCUITO DE DESLOCAMENTO DE FASE. ......................................... 96
FIGURA 39 - SINAL DE SAÍDA RESULTANTE DO CIRCUITO RECEPTOR. ............................................. 96
FIGURA 40 - LARGURA DE BANDA DE DETECÇÃO DO DETECTOR DE TAYLOE. .............................. 97
FIGURA 41 - AMPLIFICADOR OPERACIONAL. ............................................................................................ 98
FIGURA 42 - CIRCUITO DE DESLOCAMENTO DE FASE DE 90 º. ............................................................ 100
FIGURA 43 - LAYOUT DA PLACA DE CIRCUITO IMPRESSO. ................................................................. 128
LISTA DE TABELAS

TABELA 1 – REGIME TEMPORAL DAS CHAVES ANALÓGICAS. ....................................................... 31


TABELA 2 - ELEMENTOS NORMALIZADOS DO FILTRO TIPO CHEBYSHEV COM 0,5DB. ............ 48
TABELA 3 - DESCRIÇÃO DOS BITS DOS REGISTRADORES DE CONTROLE. .................................. 71
TABELA 4 - REGISTRADORES DE FREQUENCIA E FASE. ................................................................... 72
TABELA 5 - BITS DOS REGISTRADORES DE FREQUÊNCIA. .............................................................. 72
TABELA 6 - BITS DOS REGISTRADORES DE FASE. .............................................................................. 73
TABELA 7 - SAÍDAS CORRESPONDENTES AOS BITS DE CONTROLE. ............................................. 73
TABELA 8 - DESCRIÇÃO DOS PINOS UTILIZADOS DO MICROCONTROLADOR. ........................... 77
LISTA DE ABREVIATURAS

Ω – Ohm;
A – Ampère;
V – Volts
W – Watt;
Ampop – Amplificador Operacional;
RF – Rádio freqüência;
SDR – Software Defined Radio (rádio definido por software);
AM – Amplitude Modulation;
FM – Frequency Modulation;
PM – Phase Modulation;
Hz – Hertz;
FI – Frequência Intermediária;
PC – Personal Computer (computador pessoal);
A/D – Analógico para Digital;
D/A – Digital para Analógico;
RC – Resistor-capacitor;
AGC – Automatic Gain Control (controle automático de ganho);
MSB – Most Significative Bits (bits mais significativos);
LSB – Low Significative Bits (bits menos significativos);
USB – Universal Seria Bus;
DC – Direct conversion (conversão direta);
SSB – Single Side Band (banda lateral única);
SUMÁRIO

1. Estudo Teórico...................................................................................................................... 15
1.1. Arquitetura dos receptores ......................................................................................... 15
1.1.1 Receptores superheterodinos..........................................................................15
1.1.2 Receptores de conversão direta ou homodinos ..............................................17
1.2. Software Defined Radio (SDR) ................................................................................. 18
1.2.1. A freqüência intermediária no SDR[7] ..........................................................20
1.2.2. A rejeição de imagem no SDR .......................................................................21
1.2.3. Circuitos conversores de freqüência em quadratura ......................................22
1.2.3.1. Misturador em quadratura ........................................................................... 22
1.2.3.2. Detector por amostragem em quadratura ........................................................ 23
1.3. Introdução ao detector de produto de Tayloe ............................................................ 24
1.3.1. Funcionamento do detector de Tayloe[2] ......................................................24
1.3.2. Equacionamento do detector de Tayloe .........................................................26
1.3.3. Largura de banda de detecção (banda base) ...................................................38
1.3.4. Largura de banda de detecção (RF) ...............................................................40
2. Receptor de rádio AM para microcomputador ................................................................. 43
2.1. Diagrama de blocos do sistema ................................................................................. 43
2.1.1. Hardware do receptor .....................................................................................44
2.1.2. Software e interface com o usuário ................................................................45
2.2. Desenvolvimento do hardware .................................................................................. 45
2.2.1. Circuito do filtro passa-baixas .......................................................................46
2.2.2. Circuito do detector de produto de Tayloe .....................................................50
2.2.3. Circuito dos amplificadores somadores .........................................................57
2.2.4. Circuito deslocador de fase e somador...........................................................62
2.2.5. Circuito do oscilador local .............................................................................67
2.2.6. Circuito do microcontrolador .........................................................................75
2.2.7. Circuito conversor Paralelo/USB ...................................................................77
2.3. Desenvolvimento do software ................................................................................... 80
2.3.1. Descrição do software de controle do receptor ..............................................81
2.3.2. Instruções de utilização do software de controle do receptor ........................83
2.4. Simulações do hardware do receptor ......................................................................... 84
2.5. Resultados experimentais do hardware do receptor .................................................. 86
2.6. Placa de circuito impresso ......................................................................................... 90
ANEXO A – SIMULAÇÃO NO SOFTWARE PROTEUS .................................................... 94
ANEXO B – EQUAÇÃO GERAL DE FUNCIONAMENTO DO AMPOP[10] ...................... 98
ANEXO C – PROJETO DO CIRCUITO DESLOCADOR DE FASE DE 90º ..................... 100
ANEXO D – FIRMWARE DO MICROCONTROLADOR.................................................. 105
ANEXO E – SOFTWARE DO APLICATIVO DE CONTROLE DO RECEPTOR ............ 110
ANEXO F – CIRCUITO FINAL DO RECEPTOR ............................................................... 129
ANEXO G – LAYOUT DA PLACA DE CIRCUITO IMPRESSO ...................................... 128
ANEXO H – FOTOS DO PROTÓTIPO ................................................................................ 132
INTRODUÇÃO

Com o grande avanço da tecnologia veio à introdução de microprocessadores em


equipamentos de radiocomunicações para controle de funções internas e inclusão de novos
recursos, introduzindo-se também a possibilidade de se controlar os equipamentos de rádio a
partir de um computador por meio de interfaces e portas de comunicação utilizando um
software adequado para controle de numerosas funções do equipamento de rádio. Juntamente
veio a introdução de modernos equipamentos de radio com chips DSP aos quais permitem
mediante técnicas digitais construir filtros de passagem de banda, de supressão de ruído entre
outras possibilidades, muito eficazes, e ainda melhor que os construídos tradicionalmente com
circuitos analógicos sendo estes receptores denominados de SDR.
Idealmente, um SDR consiste num rádio cujos módulos de hardware são substituídos
por software. Desta maneira, as etapas de modulação e/ou demodulação, a síntese de
freqüências, a geração de freqüências intermediárias (FI), as filtragens entre outros passam a
serem processados todos de forma digital. Essa substituição traz consigo diversas vantagens,
tais como a redução de custo e consumo, dá uma maior flexibilidade na implementação de
novas funcionalidades, a diminuição de tamanho e peso e facilita a manutenção e atualização
destes equipamentos. Devido à grande utilização desses equipamentos atualmente este
trabalho tem o intuito de desenvolver um rádio definido por software que consiste em um
receptor de rádio AM por conversão direta. Basicamente seu funcionamento está baseado em
um detector por amostragem em quadratura gerando sinais de banda base que serão
digitalizados, enviados ao PC pelo barramento USB onde serão processados e
disponibilizados no sistema sonoro do mesmo.

14
1. Estudo Teórico

1.1.Arquitetura dos receptores

O papel de um receptor em rádio comunicação está relacionado à transmissão


inteligente de informações de um lugar para outro, sem qualquer meio físico entre as antenas
de transmissão e recepção. Os blocos de construção básicos de um sistema de rádio
comunicação são os transmissores e os receptores. Uma comunicação ocorre quando o
transmissor envia uma onda eletromagnética para um receptor e então o receptor demodula e
recupera o sinal de banda base enviado pelo transmissor. Dentre as várias configurações dos
receptores, os mesmos são julgados quanto a sua capacidade de atenuar o ruído provindo da
transmissão pelo meio de comunicação ao qual é submetido. Dentre as várias configurações
dos receptores podemos citar os receptores superheterodinos e os de conversão direta (DC -
direct conversion) ou homodinos.

1.1.1 Receptores superheterodinos

A peça chave na arquitetura do receptor superheterodino é uma ou mais conversões de


freqüência entre o sinal desejado de RF e o detector. A etapa de conversão de freqüência tem
dois objetivos principais, o primeiro é a translação do sinal de RF a uma freqüência mais
baixa onde é possível realizar-se filtros passa-faixa mais estáveis e de fácil implementação, e
o segundo é usar o deslocamento de freqüência para rejeitar sinais potencialmente
interferentes. O propósito original do receptor superheterodino foi melhorar a seletividade
com respeito aos receptores de radio freqüência sintonizada (RFS).
A seção de translação de freqüência (pontos D e E na Figura 1) também é considerada
parte do “Front-end” de um receptor em muitos livros. Ela consiste de um misturador de
freqüência e um oscilador local. A saída dessa seção é chamada de freqüência intermediária.
O estágio de translação de freqüência é seguido de um amplificador denominado de

15
amplificador de FI. Esse amplificador (pontos F, G e H na Figura 1) basicamente é um
amplificador de rádio freqüência sintonizado em uma única freqüência.
Uma amostra da saída do amplificador de FI é aplicada a seção de controle automático
de ganho (AGC) (pontos L e M na Figura 1). O propósito dessa seção é manter o nível do
sinal de saída mais ou menos constante. O circuito de controle automático de ganho consiste
de um retificador e um filtro para as ondulações que produzem uma tensão de controle DC,
sendo essa tensão de controle DC proporcional ao nível de sinal de entrada de RF (ponto N na
Figura 1).
O estágio de detecção tem a função de recuperar qualquer modulação do sinal de RF
de entrada sendo que o tipo de detector depende do tipo de modulação usado no sinal de
entrada. Sinais modulados em amplitude (AM) geralmente são manipulados por um detector
de envoltória. Sinais de SSB, DSBSC usarão um detector de produto enquanto que sinais de
FM e PM necessitarão de um detector sensível a fase. Os estágios de saída (pontos J e K na
Figura 1) são usados para amplificação dos sinais demodulados.

Figura 1 - Diagrama de blocos de um receptor super-heterodino.[9]

16
1.1.2 Receptores de conversão direta ou homodinos

Os receptores de conversão direta são assim denominados porque a freqüência do sinal


de entrada é convertida para banda base diretamente, sem passos intermediários, como
acontece, por exemplo, nos receptores superheterodinos, onde é feita a conversão para uma
freqüência intermediária, para depois ser feita uma nova conversão para obter-se o sinal de
banda base desejada.
A Figura 2 ilustra o sistema mais simples de um receptor de conversão direta. O sinal
captado da antena é convertido no misturador diretamente para banda base. Este sinal de
banda base passa por um filtro onde são eliminadas as componentes de alta freqüência do
sinal e após o mesmo é amplificado pelo amplificador de áudio. O papel do misturador é
realizar uma operação matemática entre os sinais provindos da antena e o sinal do oscilador
local, sendo que esta operação resulta na soma e também na subtração entre a freqüência
recebida e a freqüência do oscilador.

Figura 2 - Diagrama de blocos de um receptor de conversão direta.[5]

17
1.2.Software Defined Radio (SDR)

Em se tratando de SDR, podemos encontrar diversas definições para descrevê-lo. De


uma maneira bem resumida podemos dizer que um SDR é basicamente um rádio em que
algumas ou todas as funções físicas são definidas via software. Um rádio é um sistema de
comunicação sem fio que transmite a informação através da propagação das ondas
eletromagnéticas pelo espaço. Uma grande diversidade de tipos de rádios existe atualmente,
caracterizados, por exemplo, pelas freqüências de operação, técnicas de modulação,
codificação da informação e protocolos e os mesmos estão presentes em um grande ramo de
itens tais como celulares, computadores, veículos, televisões dentre muitos outros mais.
Um SDR realiza a maior parte das funções de um equipamento de rádio, incluindo as
mais importantes, mediante o software implementado no computador. Em primeiro lugar, há
que se dizer que existe uma enorme diferença entre um radio definido por software e outro
controlado por software. Quase todos os equipamentos de rádio modernos dotados de
interfaces de informática, são equipamentos que possuem opção de serem controlados por
computador, permitindo que se controle e visualize em parte ou todas as funções e parâmetros
que normalmente estão presentes no painel frontal do equipamento, tais como, freqüência,
modo de operação (AM, FM, SSB...), controle automático de ganho (AGC) dentre outros.
Existem até equipamentos de rádio comunicação que nem sequer painéis frontais possuem,
sendo controlados totalmente por um computador, que realiza todas as funções de um painel
de comando. Nos SDR são utilizadas sofisticadas técnicas de processamento digital de sinal,
mediante a introdução de chips DSP, implementados ao nível das etapas de áudio, para
melhorar a inteligibilidade e qualidade dos sinais. Um SDR é composto de pelo menos duas
partes, que são:

• Circuito conversor de freqüência em quadratura (hardware): faz parte do hardware


do dispositivo. É responsável pela conversão de freqüência do sinal de RF a ser
recebido para uma freqüência intermediária (FI) suficientemente baixa para poder ser
processada por uma placa de som de um microcomputador ou ainda por um conversor
A/D adequado, em dois canais, em quadratura, determinados sinais em fase (I) e
quadratura (Q);

18
• Programa de computador (software): permite processar matematicamente os sinais
em quadratura (I e Q) vindos do hardware, digitalizados por ele ou ainda digitalizados
pelo conversor A/D da placa de som. Este software realiza uma combinação
matemática adequada dos sinais I e Q de modo a rejeitar a freqüência imagem
indesejável existente na conversão de freqüência, em seguida, efetuando a
demodulação do sinal.

Ainda relacionado ao circuito conversor de freqüência em quadratura existem hoje


circuitos de diversas configurações que permitem a obtenção destes sinais dentre os quais vale
citar o misturador em quadratura, o detector por amostragem em quadratura e o QSD
duplamente balanceado. A Figura 3 mostra o diagrama de blocos básico de um SDR onde
podemos ver que na parte de hardware encontra-se o conversor de freqüência em quadratura
onde os sinais de FI são gerados que após serem filtrados são enviados para um computador
ou ainda um DSP onde estes sinais serão processados.

Figura 3 - Princípio de funcionamento de um SDR.

19
1.2.1. A freqüência intermediária no SDR[7]

A freqüência intermediária (FI) dos sinais em quadratura gerados (I e Q) deve ser


suficientemente baixa para que estes sinais possam ser processados pela placa de som do PC,
que corresponde na verdade, a uma freqüência na faixa de áudio e ultra-som que vai de zero
Hertz até a freqüência determinada pelo Teorema de Nyquist, que é exatamente igual à
metade da taxa de amostragem dos conversores A/D da placa de som do PC. A maior taxa de
amostragem das placas de som dos PC’s é de 48 kHz e, em vista disso os sinais em quadratura
que serão processados pelo software SDR devem ter largura de banda que vai desde 0 (Hz) a
24 kHz. Como o software do SDR processa corretamente as freqüências negativas pelo fato
de rejeitar a freqüência imagem, permitirá então, receber uma faixa de freqüências que vai
desde -24 kHz a 24 kHz em torno da freqüência do oscilador local como mostrado na Figura
4.
Portanto, em vista disso, a banda total recebida por um SDR é exatamente igual à taxa
de amostragem utilizada e a freqüência central da banda corresponde à freqüência do
oscilador local. Citando um exemplo prático para um valor de freqüência do oscilador local de
4 MHz, teremos que a banda total recebida será:

BW = (4MHz + 24kHz) − (4MHz − 24kHz)


BW = 4MHz + 24kHz − 4MHz + 24kHz
BW = 48kHz

Figura 4 - Espectro da banda recebida em um SDR.[9]

20
1.2.2. A rejeição de imagem no SDR

Num SDR, os sinais em quadratura que foram gerados pelo circuito conversor de
freqüência em quadratura (e que ambos os sinais I e Q contêm a indesejável freqüência
imagem) são digitalizados pela placa de som do PC, e em seguida processados digitalmente
pelo software SDR, que defasa o sinal Q em 90º negativos, e após soma com o sinal I,
obtendo assim, um único sinal de FI, com a freqüência imagem rejeitada. Após, esse único
sinal de FI poder ser submetido a outros processamentos digitais, como por exemplo, a
demodulação AM, SSB, FM, e DRM dentre outras . Após a demodulação do sinal, o mesmo é
convertido de analógico para digital pela placa de som (conversores DAC) para sinais de
áudio, e conforme o tipo de modulação poderá ser monofônico ou estéreo (canais D e E).
Podemos notar que neste processo, fica evidente que o grau de rejeição da freqüência
imagem dependerá muito da precisão dos dois defasamentos de 90º, um do circuito conversor
de freqüência em quadratura e outro do defasamento em 90º do sinal Q, e ainda, do grau de
amplitude dos sinais I e Q. No próprio software SDR, geralmente é feito um ajuste fino e
automático do grau de rejeição da freqüência imagem.
Mais detalhadamente, o processo de rejeição da freqüência imagem é dado,
matematicamente por:

I (t ) = cos(2.π . f 0 .t ) + cos(2.π . f I .t )
(1.0)
Q(t ) = sin(2.π . f 0 .t ) − sin(2.π . f I .t )
(1.1)

Mantendo o sinal I (t) inalterado e multiplicando o sinal Q(t) pela variável


imaginária j teremos:

I (t ) = cos(2.π . f 0 .t ) + cos(2.π . f I .t )
(1.2)
Q(t ) = j.sin(2.π . f 0 .t ) − j.sin(2.π . f I .t )
(1.3)

Como:

21
j.sin(α ) = cos(α )

Teremos que o sinal Q(t) será dado por:

Q ( t ) = cos(2.π . f0 .t ) − cos(2.π . f I .t )
(1.4)

Somando os dois sinais I (t) e Q(t) , teremos um novo sinal, sem a interferência da
freqüência imagem que é dado por:

I ( t ) + Q ( t ) = cos(2.π . f0 .t ) + cos(2.π . f I .t ) + cos(2.π . f0 .t ) − cos(2.π . f I .t )

I ( t ) + Q ( t ) = 2. cos(2.π . f0 .t )

1.2.3. Circuitos conversores de freqüência em quadratura

Como já mencionado, estes circuitos são responsáveis pela conversão de freqüência do


sinal de RF para uma freqüência intermediária suficientemente baixa para poder ser
processada por uma placa de som de um microcomputador ou ainda por um conversor A/D
adequado, em dois canais, em quadratura, determinados de I (In-phase signal) e Q
(Quadrature signal).
Atualmente, existem diversos tipos distintos de circuitos destinados a este fim e hoje,
os mais utilizados são os misturadores em quadratura e os detectores por amostragem em
quadratura.

1.2.3.1.Misturador em quadratura

Basicamente, este misturador em quadratura consiste em dividir o sinal de rádio


freqüência recebido ( xRF (t )) multiplicando uma amostra deste sinal por um oscilador local

22
( f LO ) , e outra amostra deste sinal pelo oscilador local ( f LO ) defasado de 90º. Deste processo

são gerados os dois sinais de saída em quadratura I (t) e Q(t) . O oscilador local é responsável
pela conversão para a freqüência intermediária. A Figura 5 mostra o diagrama de blocos
simplificado deste circuito conversor de freqüência em quadratura.

Figura 5 - Diagrama de blocos de um misturador em quadratura.[6]

1.2.3.2. Detector por amostragem em quadratura

Neste tipo de circuito conversor de freqüência em quadratura o processo é uma espécie


de conversão direta, onde em cada ciclo da freqüência de chaveamento se obtêm uma amostra
do sinal de áudio em cada uma das saídas (0º, 90º, 180º, 270º). Assim, serão obtidas quatro
saídas com defasagens distintas e com essas quatro saídas distintas que se obtêm os sinais em
quadratura I e Q através da soma dos sinais com defasagem 0º e 180º, e dos sinais 90º e 270º.
Essa soma é realizada utilizando-se amplificadores operacionais na configuração diferencial.
Posteriormente, os sinais obtidos nessa detecção são filtrados por um filtro passa-baixa do
tipo RC, formado pela impedância da antena e pelos capacitores de amostragem de cada ponto
do comutador rotativo como mostra a Figura 6.

23
Figura 6 - Circuito básico de um detector por amostragem em quadratura.[6]

1.3.Introdução ao detector de produto de Tayloe

O detector de produto de Tayloe converte de um sinal de RF para um sinal de banda


base e consiste da comutação de chaves que servem para amostrar a forma de onda de RF
quatro vezes a cada período da freqüência de RF. Essas amostras são integradas ao longo do
tempo, produzindo uma tensão média nas saídas 0º, 90º, 180º e 270º. A tensão na saída 0º é o
sinal de banda base em fase I (t) , e a tensão na saída 90º é o sinal de banda base em

quadratura Q(t) . Alternativamente, para incrementar ganho, o sinal na saída 0º pode ser

somado diferencialmente com o sinal na saída 180º para formar o sinal em fase I (t) , e o sinal
na saída 90º pode ser somado diferencialmente com o sinal na saída 270º para produzir o sinal
em quadratura Q(t) .

1.3.1. Funcionamento do detector de Tayloe[2]

Este circuito representa um simples e barato detector de produto que facilita a


conversão de um sinal para banda base sem a interferência da indesejável freqüência imagem.
A comutação de chaves é usada em combinação com capacitores para integrar amostras do
sinal de entrada. O sinal em fase I (t) e o sinal em quadratura Q(t) que resultam representam
o sinal de interesse de banda base.
24
Figura 7 - Circuito do detector de produto de tayloe.[2]

A Figura 7 ilustra um receptor de conversão direta utilizando este detector. Neste


circuito estão presentes: resistores, circuito de polarização, chaves de comutação, capacitores,
amplificadores somadores e estágios de atraso de fase.
Em operação, o sinal de RF ou IF ( f1 ) é recebido pelo resistor 32 ( RFILTER ) . Este
resistor forma um filtro passa-baixa do tipo RC em combinação com cada um dos capacitores
denominado por 72, 74, 76, 78 (C f ) . Depois que o sinal de RF passa pelo resistor 32 o

mesmo é recebido na comutação das chaves de entrada. A freqüência de comutação das


chaves é controlada por um sinal presente na entrada de controle 40. A freqüência do sinal de
entrada de controle é igual a quatro vezes a freqüência do oscilador local que existe nos
receptores de conversão direta simples. Como resultado, o sinal de entrada 36 está presente
em cada uma das quatro saídas (0º, 90º, 180º, 270º) durante um quarto do período da
freqüência do sinal que se deseja receber.
Durante o tempo em que a chave conecta a entrada na saída, o capacitor é carregado.
O mesmo ocorre para cada um dos capacitores 72, 74, 76, 78, porém em tempos seqüentes
distintos. No ciclo de comutação das chaves para as quatro saídas, os capacitores se carregam
com os valores iguais as tensões médias do sinal de entrada durante seus respectivos
intervalos de tempo Cada capacitor é um integrador, onde cada um integra um quarto do
período da forma onda do sinal de entrada.
A saída 42 representa o valor médio do sinal de entrada durante o primeiro quarto do
período do sinal de entrada e é determinado de saída 0º. A saída 44 representa o valor médio
do sinal de entrada durante o segundo quarto do período do sinal de entrada e é determinado

25
de saída 90º. A saída 46 representa o valor médio do sinal de entrada durante o terceiro quarto
do período do sinal de entrada e é determinado de saída 180º. A saída 48 representa o valor
médio do sinal de entrada durante o quarto quarto do período do sinal de entrada e é
determinado de saída 270º.
As saídas 42, 44, 46, 48 são as entradas dos amplificadores somadores 50 e 52. O
amplificador somador 50 soma diferencialmente a saída 0º e a saída 180º, assim produzindo o
sinal de banda base em fase I (t) . O amplificador somador 52 soma diferencialmente a saída

90º e a saída 270º, assim produzindo o sinal de banda base em quadratura Q(t) . O sinal de

banda base em fase I (t) 54 e o sinal de banda base em quadratura Q(t) 56 são as entradas de

um circuito de atraso de fase 58 que desloca a fase do sinal de banda base em quadratura Q(t)
. Os sinais resultantes então são somados por um amplificador somador para produzir o sinal
de interesse.
O detector de produto de Tayloe apresenta diversas vantagens em relação a outros
circuitos destinados a este fim. Uma das vantagens é a baixa perda de conversão que pode ser
menor que 1dB, ou seja, em torno de 7dB abaixo dos conversores típicos6. Outra vantagem do
detector de produto de Tayloe é sua banda estreita de detecção. Como já mencionado, o
resistor de entrada e os capacitores (C f ) formam um filtro passa-banda para RF. O efeito do

chaveamento dos quatro capacitores forma um filtro passa-baixa que se torna um filtro passa-
banda em torno da freqüência f1 , sendo que a largura de banda deste filtro passa-banda é
determinada pela correta seleção do resistor e dos capacitores.

1.3.2. Equacionamento do detector de Tayloe

O detector de produto de Tayloe é mostrado na Figura 8. Como já mencionado


anteriormente, cada chave (CH1, CH2, CH3, CH4) permanece fechada um determinado
tempo. Esse tempo é determinado pela freqüência de chaveamento que será aplicada a entrada
de controle de um circuito integrado que desempenhará o papel de acionamento das chaves. O
sinal de entrada é Vi (t ) e os sinais de saída são Vo1 (t ) , Vo 2 (t ) , Vo3 (t ) e Vo 4 (t ) que
correspondem respectivamente as já mencionadas saídas 0º, 90º, 180º e 270º.

26
Figura 8 - Circuito do detector de produto de Tayloe.

O sinal de entrada Vi (t ) é um sinal de radiofreqüência, modulado em amplitude


genericamente representado
epresentado por:

Vi (t ) = AP . 1 + m.z ( t )  .w(t )


(1.5)

Onde, o sinal de banda base z(t) e o sinal da portadora w(t ) são representados por:

z ( t ) = cos(2.π . f m .t )

w ( t ) = cos(2.π . f c .t )

A freqüência do sinal da portadora é determinada por f C (carrier


carrier) enquanto que a

freqüência do sinal de banda base é determinado por f m (message). O sinal da banda base é
um sinal de largura de banda de aproximadamente a 20 k(Hz). O sinal da portadora
portador é um sinal
de RF, ou seja, é um sinal de alta freqüência, quando comparado com a freqüência do sinal de
banda base, sendo assim, podemos dizer que:

f C ≫f m

Portanto,, é válido considerar que em um período do sinal da portadora w(t ) o sinal de

banda base z(t ) é praticamente constante. Para tal consideração, a freqüência de comutação

27
de cada chave deve ser exatamente igual à freqüência do sinal da portadora e, ainda, devem
ter acionamento seqüencias, ou seja, quando a primeira chave estiver fechada, todas as outras
devem permanecer abertas. Somente quando a primeira chave abrir a segunda chave fechará e
assim por diante. A Figura 9 ilustra a seqüência de comutação das chaves sendo o período da
freqüência de comutação de cada chave o período da freqüência da portadora do sinal de
entrada.

Figura 9 - Diagrama temporal de acionamento das chaves analógicas.

Quando uma das chaves estiver fechada, teremos um circuito RC série sendo que a
mesma permanece fechada durante um período de tempo muito curto determinado por:

1
ton =
4. f C

Da mesma forma, como o período de chaveamento para cada uma das chaves é
determinado pelo período do sinal da portadora, o tempo em que ela permanece aberta é
determinado por:

1
Tch =
fC

28
toff = Tch − ton

1 1
toff = −
f C 4. f C
3
toff =
4. fC

Como já mencionado, o sinal de banda base pode ser considerado constante no


período da freqüência da portadora, porém como cada chave irá fechar em um tempo
determinado por um quarto do período da portadora, na chave CH1 teremos o sinal de entrada
x(t) sendo que na chave CH2 o sinal será x(t) defasado de 90º. Na chave CH3 o sinal será
x(t) defasado de 180º enquanto que na chave CH4 o sinal x(t) defasado de 270º. Então,
teremos que:

CH 1 → Vi (t ) = AP . 1 + m.z ( t )  .cos (ωC .t ) (1.6)

CH 2 → Vi (t ) = AP . 1 + m.z ( t )  .cos(ωC .t − π )


2 (1.7)
CH 3 → Vi (t ) = AP . 1 + m. z ( t )  .cos (ωC .t − π ) (1.8)

CH 4 → Vi (t ) = AP . 1 + m.z ( t )  .cos(ωC .t − 3π )


2 (1.9)

Como:

(
cos ωC .t − π
2 ) = + sin(ω .t )
C

cos (ωC .t − π ) = − cos(ωC .t )

(
cos ωC .t − 3π
2 ) = − sin(ω .t )
C

Teremos:

CH 1 → Vi (t ) = AP . 1 + m.z ( t )  .cos (ωC .t ) (2.0)

CH 2 → Vi (t ) = AP . 1 + m.z ( t )  .sin(ωC .t ) (2.1)

CH 3 → Vi (t ) = − AP . 1 + m. z ( t )  .cos (ωC .t ) (2.2)

29
CH 4 → Vi (t ) = − AP . 1 + m.z ( t )  .sin(ωC .t ) (2.3)

Da Figura 11 quando a chave CH1 fechar o capacitor irá começar a se carregar através
do resistor R. Considerando o capacitor inicialmente descarregado, a corrente no instante em
que a chave fechar é dada pelo valor da tensão de entrada dividido pelo resistor R, pois
inicialmente o capacitor em regime de corrente alternada se comporta como um elemento de
baixíssima impedância e pode ser considerado como um curto circuito. Como o período em
que a chave permanece fechada é muito pequeno, o capacitor não irá se carregar totalmente, e
então podemos considerar que a corrente que flui no instante em que a chave é fechada é dada
por:

Vi (t )
i (t ) =
R (2.4)

Conhecendo a corrente que flui no capacitor, podemos encontrar a tensão em cada


uma das saídas do circuito. A tensão em um capacitor em função do tempo é dada por:

T
1
VC ( t ) = . i ( t ) .dt
C ∫0
(2.5)

Como já mencionado, cada chave (CH1, CH2, CH3, CH4) permanece fechado por um
período de tempo igual, porém nunca todas ficam fechadas juntas. O tempo em que cada
chave permanece fechada é dado por:

1 π
Ton = =
4. f C 2.ωC

A Tabela 1 mostra o tempo correspondente que cada chave permanece fechada


durante um período do sinal da portadora:

30
 CH1 CH2 CH3 CH4
1    
0→
4. f C
1 1    

4. f C 2. f C
1 3    

2. f C 4. f C
3 1    

4. f C fC
Tabela 1 – Regime temporal das chaves analógicas.

Portanto, através dessa análise as saídas do detector de produto de Tayloe são


determinadas através das equações:

1
4. f c
1
Vo1 (t ) =
C1
. ∫ i ( t ) .dt
1
0 (2.6)
1
2. f c
1
. i2 ( t ) .dt
C2 ∫1
Vo 2 (t ) =
(2.7)
4. f c

3
4. f c
1
Vo 3 (t ) =
C3
.
1
∫ i ( t ) .dt
3

2. f c
(2.8)
1
fc
1
. i4 ( t ) .dt
C4 ∫3
Vo 4 (t ) =
4. f c
(2.9)

Como os valores dos capacitores C1, C2, C3, C4 devem ter valores iguais,
determinaremos que:

  1  2  3  4

31
E, portanto:

1
4. f c
1
Vo1 (t ) =
C
. ∫ i ( t ) .dt
1
0 (3.0)
1
2. fc
1
. i2 ( t ) .dt
C ∫1
Vo 2 (t ) =
(3.1)
4. fc

3
4. fc
1
. i3 ( t ) .dt
C ∫1
Vo3 (t ) =
2. fc
(3.2)
1
fc
1
. i4 ( t ) .dt
C ∫3
Vo 4 (t ) =
4. fc
(3.3)

Portanto, através das equações (3.0), (3.1), (3.2) e (3.3) as tensões nas saídas
correspondentes para cada chave são determinadas, respectivamente:
Para a chave CH1, substituindo a equação (1.6) em (2.4), teremos:

AP . 1 + m.z ( t )  .cos (ωC .t )


i1 ( t ) =
R (3.4)

Substituindo a equação (3.4) na equação (3.0), teremos:

1
2.ωc
AP . 1 + m.z ( t )  .cos (ωC .t )
Vo1 (t ) =
C
. ∫ R
.dt
0 (3.5)
π
AP . 1 + m.z ( t )  2.ωc
Vo1 (t ) = . ∫ cos (ωC .t ) .dt
R.C 0 (3.5)

A integral indefinida de uma função cossenoidal é dada por:

32
1
∫ cos ( β .x ) .dx = β .sin( β .x)

AP . 1 + m.z ( t )   1 π   1 
Vo1 (t ) = .  .sin( wC . ) −  .sin( wC .0)  
R.C  ωC 2.ωC   ωC   (3.5)
AP . 1 + m.z ( t )   1 π   1 
Vo1 (t ) = .  .sin( )  −  .sin(0)  
R.C  ωC 2   ωC   (3.5)

Como:

π 
sin   = 1;sin ( 0 ) = 0
2

AP . 1 + m.z ( t )   1 
Vo1 (t ) = .  − 0
R.C  ωC  (3.5)
AP . 1 + m.z ( t ) 
Vo1 (t ) =
ωC .R.C
(3.5)

Para a chave CH2, substituindo a equação (1.7) em (2.4), teremos:

AP . 1 + m.z ( t )  .sin (ωC .t )


i2 ( t ) =
R (3.6)

Substituindo a equação (3.6) na equação (3.1), teremos:

1
ωc
AP . 1 + m.z ( t )  .sin (ωC .t )
Vo 2 (t ) =
C
. ∫
π R
.dt
2.ωc
(3.7)
π
AP . 1 + m.z ( t )  ωc
Vo 2 (t ) = . ∫ sin (ωC .t ) .dt
R.C π
2.ωc
(3.7)

33
A integral indefinida de uma função senoidal é dada por:

1
∫sin ( β .x ) .dx = − β .cos( β .x)

AP . 1 + m.z ( t )   1 π   1 π 
Vo 2 (t ) = .  − .cos ( wC . )  −  − .cos ( wC . ) 
R.C  ωC ωC   ωC 2.ωC  
(3.7)
AP . 1 + m.z ( t )   1 π   1 
Vo 2 (t ) = .  .cos ( )  −  .cos (π )  
R.C  ωC 2   ωC   (3.7)
Como:

π 
cos   = 0; cos (π ) = −1
2

AP . 1 + m.z ( t )    1  
Vo 2 (t ) = . 0 −  − 
R.C   ωC   (3.7)
AP . 1 + m.z ( t ) 
Vo 2 (t ) =
ωC .R.C
(3.7)

Para a chave CH3, substituindo a equação (1.8) em (2.4), teremos:

AP . 1 + m.z ( t )  .cos (ωC .t )


i3 ( t ) = −
R (3.8)

Substituindo a equação (3.8) na equação (3.2), teremos:

3.π

1
2.ωc
AP . 1 + m.z ( t )  .cos (ωC .t )
Vo 3 (t ) =
C
. ∫
π R
.dt
ωc
(3.9)

34
3.π

AP . 1 + m.z ( t )  2.ωc

Vo3 (t ) = − . ∫ cos (ωC .t ) .dt


R.C π
ωc
(3.9)

A integral indefinida de uma função cossenoidal é dada por:

1
∫ cos ( β .x ) .dx = β .sin( β .x)

AP . 1 + m.z ( t )   1 3.π   1 π 


Vo 3 (t ) = − .  .sin( wC . ) −  .sin( wC . )  
R.C  ωC 2.ωC   ωC ωC  
(3.9)
AP . 1 + m.z ( t )   1 3.π   1 
Vo 3 (t ) = .  .sin( ) − .sin(π )  
R.C  ωC 2   ωC  
(3.9)

Como:

 3.π 
sin   = −1;sin (π ) = 0
 2 

AP . 1 + m.z ( t )   1 
Vo 3 (t ) = . − − 0
R.C  ωC  (3.9)
AP . 1 + m.z ( t ) 
Vo 3 (t ) = −
ωC .R.C
(3.9)

Para a chave CH4, substituindo a equação (1.9) em (2.4), teremos:

AP . 1 + m.z ( t )  .sin (ωC .t )


i4 ( t ) = −
R (4.0)

Substituindo a equação (4.0) na equação (3.3), teremos:

35
2.π

1 c AP . 1 + m.z ( t )  .sin (ωC .t )


ω
Vo 4 (t ) = . ∫ − .dt
C 3.π R
2.ωc
(4.1)
2.π

AP . 1 + m.z ( t )  ωc
Vo 4 (t ) = − . ∫ sin (ωC .t ) .dt
R.C 3.π
2.ωc
(4.1)

A integral indefinida de uma função senoidal é dada por:

1
∫sin ( β .x ) .dx = − β .cos( β .x)

AP . 1 + m.z ( t )   1 2.π   1 3.π  


Vo 4 (t ) = .  − .cos( wC . ) −− .cos( wC . ) 
R.C  ωC ωC   ωC 2.ωC  
(4.1)
AP . 1 + m.z ( t )   1 3.π   1 
Vo 4 (t ) = .  .cos( ) − .cos(2.π )  
R.C  ωC 2   ωC   (4.1)

Como:

 3.π 
cos   = 0;cos ( 2.π ) = 1
 2 

AP . 1 + m.z ( t )    1 
Vo 4 (t ) = . 0 −  
R.C   ωC   (4.1)
AP . 1 + m.z ( t ) 
Vo 4 (t ) = −
ωC .R.C (4.1)

As equações (3.5), (3.7), (3.9) e (4.1) representam as quatro saídas do detector de


produto de Tayloe em função do tempo, que nada mais são do que amostras do sinal de banda
base. Analisando a equação (3.5) e relação à equação (3.9) podemos notar que os sinais de
entrada são defasados em 90º, pois:

36
cos(β ± π ) = ∓ sin(ωC .t )
2

O mesmo ocorre com respeito às equações (3.7) e (4.1). Como cada sinal difere do
outro, respectivamente em 90º, os sinais com defasagem de 180º poderão ser somados,
acrescentando um ganho e resultando em dois novos sinais. Estes sinais resultantes são
denominados de sinal em fase (I) e sinal em quadratura (Q).
Então, se somarmos diferencialmente as equações (3.5) e (3.9), teremos o sinal em
fase (I). Da mesma forma, somando diferencialmente as equações (3.7) e (4.1), teremos o
sinal em quadratura (Q).

I ( t ) = Vo1 (t ) − Vo3 (t )
(4.2)
 AP . 1 + m.z ( t )    AP . 1 + m.z ( t )  
I (t ) =  −− 
 ω . R.C   ω . R.C  (4.2)
 C   C 
 AP . 1 + m.z ( t )    AP . 1 + m.z ( t )  
I (t ) =  + 
 ω . R.C   ω . R.C  (4.2)
 C   C 
 AP . 1 + m.z ( t )  
I ( t ) = 2.  
 ω . R.C  (4.2)
 C 

Q ( t ) = Vo 2 (t ) − Vo 4 (t )
(4.3)
 AP . 1 + m.z ( t )    AP . 1 + m.z ( t )  
Q (t ) =  −− 
 ω . R.C   ω . R.C  (4.3)
 C   C 
 AP . 1 + m.z ( t )    AP . 1 + m.z ( t )  
Q (t ) =  + 
 ωC .R.C   ωC .R.C  (4.3)
   
 AP . 1 + m.z ( t )  
Q ( t ) = 2.  
 ω . R.C  (4.3)
 C 

Sabemos que:

2.π
ωC =
TC (4.4)
37
Portanto, substituindo a equação (4.4) nas equações (4.2) e (4.3) respectivamente
teremos:

 T 
I ( t ) =  C  . Ap .(1 + m.z ( t ))
 π .R.C  (4.5)
 T 
Q ( t ) =  C  . Ap .(1 + m.z ( t ))
 π .R.C  (4.6)

Analisando os sinais I (t ) e Q(t ) podemos notar que estes representam nada mais do

que o sinal de banda base z(t ) adicionado a uma componente contínua. Estes sinais em
quadratura podem ainda serem defasados separadamente, obtendo-se dois sinais iguais com
mesma fase. Se os mesmos forem somados, como resultado terá um único sinal, no caso o
sinal de banda base desejado.

1.3.3. Largura de banda de detecção (banda base)

Um circuito RC, série nada mais é do que um filtro passa-baixa quando em regime
permanente de corrente alternada. Sua função de transferência[1] é dada por:

Y (s) 1
=
X ( s ) 1 + s.R.C

A freqüência de corte deste filtro é determinada por:

1
f( −3dB ) = ( Hz )
2.π .R.C (4.7)

Como já mencionamos, a freqüência do sinal de banda base é muito menor que a


freqüência do sinal da portadora. Como o período do chaveamento do circuito é tão pequeno,

38
para o sinal de banda base, em um período da portadora, podemos considerar que os quatro
capacitores C1, C2, C3 e C4 encontram-se em paralelo.
Portanto, como os quatro capacitores são iguais, é como se estivéssemos um novo
capacitor que assumirá o valor:

Cresultante = C1 + C 2 + C 3 + C 4

Como:

C1 = C 2 = C 3 = C 4 = C
Teremos que:

Cresultante = C + C + C + C
(4.8)
Cresultante = 4.C
(4.8)

Portanto, do ponto de vista do sinal da banda base podemos considerar que o circuito
resultante é um circuito RC, em que a taxa de atenuação é de 20 ⁄ é  . A freqüência
de corte deste filtro, substituindo a equação (4.8) em (4,7) é dada por:

1
f ( −3dB ) = ( Hz )
2.π .R.(4.C ) (4.9)

A largura de banda de um filtro é dada por:

BW = f c 2 − f c1
(5.0)

Como o filtro é do tipo passa-baixas:

f c 2 = f ( −3 dB )
(5.1)

1
fc 2 = Hz
2.π .R.(4.C ) (5.1)

39
f c1 = 0 Hz
(5.2)

Portanto, substituindo as equações (5.1) e (5.2) na equação (5.0) a largura de banda do


filtro para o sinal de banda base a ser demodulado é determinado por:

BW = f c 2 − f c1 (5.0)
1
BWBB = −0
2.π .R.(4.C ) (5.3)
1
BWBB = Hz
2.π .R. ( 4.C )
(5.3)

1.3.4. Largura de banda de detecção (RF)

Do ponto de vista de radiofreqüência, como já mencionado anteriormente, o detector


de produto de Tayloe se comporta como um filtro passa-banda de alta seletividade. A Figura
10 ilustra idealmente a largura de banda de detecção do ponto de vista do sinal de banda base
e do ponto de vista de RF.

Figura 10 - Largura de banda de detecção do detector de produto de Tayloe.

40
O detector de produto de Tayloe pode ser analisado como um filtro de comutação
digital. Isso significa que ele opera como um filtro de alta seletividade e sua largura de banda
de detecção[8] é dada por:

1
BWRF = Hz
π .n.R.C (5.4)

Onde n é o número de capacitores do detector. A seletividade do mesmo é


determinada por:

fC
QRF =
BWRF (5.5)

Onde f C é a freqüência do sinal a ser recebido, ou seja, a freqüência de comutação de


cada uma das chaves. Da Figura 12 podemos notar que a banda de detecção do detector de
Tayloe do ponto de vista de RF é simplesmente o dobro da largura de banda do detector do
ponto de vista do sinal de banda base.
Vamos considerar que o detector de produto de Tayloe estará em um receptor
operando na freqüência de 10 MHz com largura de banda do sinal de banda base de 3kHz. O
resistor R será representado pela impedância da antena, por exemplo 50Ω. Portanto, da
equação (5.3) que representa a largura de banda de detecção para o sinal de banda base,
acharemos o valor do capacitor C.

1
BWBB = ( Hz )
2.π .R. ( 4.C )

1
C= (F )
2.π .R.4.BWBB

1
C= (F )
2.π .50.4.3000
C ≃ 265n(F )

Da equação (5.4), a largura de banda de detecção de RF é dada por:

41
1
BWRF =
π .n.R.C
BWRF ≃ 6kHz

Como podemos observar, a largura de banda de detecção de RF nada mais é do que a


translação no espectro de freqüência do filtro de banda base centrado na freqüência de
chaveamento de cada uma das chaves do detector, comprovando que:

BWRF = 2.BWBB

Da equação (5.5), a seletividade deste detector é dada por:

fc
QRF =
BWRF

10M
QRF =
6000
QRF ≃ 1670

Do valor encontrado para a seletividade do filtro podemos notar que o mesmo se


comporta com um filtro passa-banda de alta de alta seletividade, conforme mencionado
anteriormente.

42
2. Receptor de rádio AM para microcomputador

O sistema desenvolvido consiste de um receptor de rádio de conversão direta para sinais


AM, operando em uma faixa de freqüências determinada (530kHz – 1600kHz). Este receptor
de rádio foi desenvolvido utilizando o Detector de produto de Tayloe na etapa de conversão
de freqüências seguindo determinadas técnicas que o caracterizarão como um SDR.
Basicamente, um sistema de hardware é responsável pela parte de conversão de freqüências,
sendo o processamento dos sinais e ajuste de freqüência realizada pelo microcomputador.

2.1. Diagrama de blocos do sistema

O sistema do receptor de rádio é construído com base em alguns princípios já utilizados


nos receptores tradicionais. Este sistema é dotado de um filtro de entrada da antena, um
oscilador local dentre outros mais circuitos para tratamento dos sinais. O diagrama de blocos
do receptor é mostrado na Figura 11.

Figura 11 - Diagrama de blocos geral do sistema.

43
2.1.1. Hardware do receptor

O hardware do sistema é encarregado por toda a parte de conversão de freqüência dos


sinais de entrada de RF. Como o receptor desenvolvido é de conversão direta, o mesmo
deverá apresenta em sua saída o sinal de banda base desejado, sem a intervenção de etapas
intermediárias no processo de conversão de freqüências. O hardware é composto de:

• Filtro de entrada: o filtro de entrada do sistema tem a função de atenuar os sinais de


rádio freqüência indesejado ao receptor. Idealmente, os sinais de rádio freqüência
desejados para este receptor correspondem a uma faixa determinada, então o mesmo
deveria ter um filtro passa-banda na entrada da antena, porém, como a resposta em
freqüência do detector de Tayloe é uma resposta passa banda, o mesmo possuirá um
filtro passa-baixa, que desenvolve a função de atenuar interferências de sinais de
freqüências elevadas gerados pelo circuito de detecção.

• Detector de Tayloe: o detector de produto de Tayloe tem a função de demodular o


sinal de RF. O sinal de saída do detector é o sinal de banda base desejado, sendo que,
o mesmo disponibiliza quatro saídas, defasadas respectivamente 90º uma da outra.

• Amplificadores diferenciais: os amplificadores operacionais na configuração


diferencial têm a função de subtrair os sinais vindos da saída do detector de Tayloe.
Na saída dos amplificadores diferenciais teremos duas saídas correspondentes
respectivamente ao sinal de banda base em fase (I) e a o sinal de banda base em
quadratura (Q).

• Circuito defasador somador: este circuito têm a função de defasar os sinais provindos
do circuito dos amplificadores somadores afim de torná-los dois sinais de mesma fase
e após somá-los, disponibilizando na sua saída um único sinal analógico, de áudio.

• Oscilador Local: o oscilador local tem a função de gerar o sinal de freqüência a ser
determinada para controle do chaveamento do detector de produto de Tayloe.

44
• Microcontrolador (Firmware): o microcontrolador é responsável por receber dados
digitais provindos do microcomputador, interpretá-los e ajustar a freqüência do
oscilador local para a freqüência desejada pelo usuário.
• Conversor Paralelo/USB: este circuito é responsável por converter os dados digitais
provindos do microcontrolador de maneira paralela para sinais digitas dentro do
protocolo de comunicação USB.

2.1.2. Software e interface com o usuário

Como já mencionado anteriormente, o receptor desenvolvido tem características que o


designam como um SDR e, portanto toda a parte de processamento dos sinais vindo da placa
de hardware é realizada pelo microcomputador. Em um SDR, os sinais em quadratura vindos
do hardware são injetados na entrada de áudio no microcomputador e após são digitalizados,
filtrados, demodulados e posteriormente disponibilizados em um sistema de áudio.
Neste projeto, o sinal de banda base (áudio) analógico provindo da placa de hardware é
injetado a entrada de áudio do microcomputador. Neste projeto, um aplicativo desenvolvido
em ambiente Windows processa este sinal da maneira adequada e disponibilizá-o na saída de
áudio do mesmo. Este aplicativo também disponibiliza ao usuário final o ajuste da freqüência
de sintonia, sendo tudo realizado através do microcomputador e dos seus periféricos de
entrada e saída, que no caso serão o teclado, o mouse e ainda o sistema de áudio e vídeo.
A comunicação entre o sistema de hardware do receptor com o microcomputador é
realizada através do protocolo USB, pois atualmente existe um circuito integrado comercial
bastante utilizado fabricado pela FTDI (Future Technology Devices International) que
possibilita a comunicação a elevadas taxas de transmissão, sendo estas suficientes para o
projeto a ser desenvolvido.

2.2. Desenvolvimento do hardware

45
Neste item são apresentados
apresentado os circuitos projetados para cada um dos blocos da parte de
hardware da Figura 13. Para uma melhor compreensão, juntamente estão anexad simulações
ão anexadas
dos circuitos projetados.

2.2.1. Circuito do filtro


iltro passa-baixas
passa

O filtro de entrada do receptor é um filtro passa-baixa


baixa do tipo passivo, onde são
utilizados somente componentes discretos como capacitores,
capacitores, indutores e resistores. A função
deste filtro
ltro na entrada do receptor é atenuar as freqüências indesejáveis na recepção, em vista
disso, foi escolhido um filtro passa-baixa
passa do tipo Chebyshev de 5ª ordem com 0,5dB de ripple
na banda passante por apresentar uma resposta praticamente plana na banda passante
p
juntamente a uma boa atenuação das freqüências indesejáveis. O circuito do filtro é mostrado
na Figura 12.

Figura 12 - Circuito do filtro passa-baixas.

Do circuito da Figura 12 temos que R1 representa


resenta a impedância da antena do receptor

e R2 a resistência de carga do circuito.


circuito As equações[4] para dimensionamento deste filtro são
descritas a seguir. A relação entre as resistências é dada por:

R1 = r.R2

Oss valores dos indutores e capacitores do circuito são dados por:

R1
Lk = .g k
ωc (5.6)
46
1
Ck = .g k
R1.ωc (5.7)

Onde ω C representa o valor da freqüência de corte do filtro em rad


s e g k são os
valores dos indutores e dos capacitores do filtro normalizados para:

r1 = 1(Ω)

ωc = 1(rad s )

A ordem do filtro é representada por n . Os parâmetros r e g n são obtidos da

comparação entra a função de transferência do circuito do filtro com as funções de filtragem


e, os seus valores são no caso filtros de Chebishev dados por:

Para n = ímpar :

r =1

Para k = 1 :

2.ak
gk =
γ

Para k = 2,3,…, n :

4.ak −1.ak
gk =
bk −1.g k −1

Onde, para k = 1,2,3,…, n :

 ( 2.k − 1) .π 
ak = sin  
 2.n 

47
k.π
bk = γ 2 + sin 2 ( )
n

β
γ = sinh( )
2.n

Em diversos livros específicos sobre análise de filtros, encontram-se tabelas com os


valores de g k para filtros com diferentes ordens, e diferentes valores de ripple na banda de

passagem, para fins de análise de sua síntese. A Tabela 2 apresenta os valores de g k para
filtros de ordem 1 à 6 com 0,5dB de amplitude de ripple na banda passante.

Tabela 2 - Elementos normalizados do filtro tipo Chebyshev com 0,5dB.[12]

O receptor, como já mencionado, irá receber sinais AM com largura de banda de:

BW = 1600kHz − 530kHz
BW = 1,07MHz

Como o filtro será do tipo passa-baixa, a freqüência de corte será dada então por:

f c = 1600kHz

48
Como:

ωC = 2.π . fc (rad s )

Então:

ωC = 2.π .1,6M (rad s )

ωC ≃ 10,05M (rad s )

Para o projeto do filtro, sabemos que:

R1 = 50Ω

Ap = 0, 5dB

n=5

Da Tabela 2:

g1 = 1, 7058

g 2 = 1, 2296

g3 = 2,5408

g 4 = 1, 2296

g5 = 1, 7058

Como g1 = g5 , da equação (5.7):

 1 
6  (
C1 = C5 =  . 1, 7058 ) F
 50.10, 05 x10 
C1 = C5 ≃ 3, 4nF

 1 
6  (
C3 =  . 2,5408 ) F
 50.10, 05 x10 
C3 ≃ 5, 0nF

49
Como g 2 = g 4 , da equação (5.6):

 50 
L2 = L4 =   . (1, 2296 ) H
 10, 05M 
L2 = L4 ≃ 6,1µH

Portanto, como os valores dos capacitores, indutores e capacitores calculados nem


sempre são valores aos quais os fabricantes disponibilizam. Em valores comerciais teremos:

R1 = R2 = 50Ω

C1 = C5 = 3,3nF

C3 = 4, 7 nF

Ls = L4 = 6, 2 µH

Na Figura 25 presente no Anexo A temos a resposta em freqüência deste filtro


simulado no software Proteus, onde podemos notar uma alta atenuação das freqüências
indesejáveis.

2.2.2. Circuito do detector de produto de Tayloe

O circuito do detector de produto de Tayloe é feito utilizando-se chaves analógicas


como podemos observar na Figura 7. Cada uma das chaves irá permanecer fechada por um
quarto do período do sinal de RF ao qual queremos demodular, portanto, será utilizado um
circuito integrado que possui internamente quatro chaves analógicas, e ainda, outro circuito
integrado que fará o acionamento das chaves, sendo que este deverá ter um sinal na sua
entrada quatro vezes maior que a freqüência do sinal de interesse, para fim de que cada chave
permaneça fechada o tempo determinado pela freqüência do sinal a sua entrada.

50
O circuito integrado das chaves analógicas será o 74HC4066[12] fabricado pela
PHILIPS, escolhido devido a algumas de suas características, onde vale citar seu baixo valor
da resistência da chave quando fechada, pois esta estará em série com a antena, e quanto
menor seu valor menor é a perda por conversão do detector. A Figura 13 mostra o símbolo
lógico das chaves deste circuito integrado juntamente a sua tabela funcional. As principais
razões para escolha deste circuito integrado são:

• Baixa resistência “ON”;


- 50Ω típico para VCC = +4,5V;
- 45Ω típico para VCC = +6,0V;
- 35Ω típico para VCC = +9,0V;

• Alimentação: VCC = -0,5V à VCC = +11,0V;

• Máxima variação de resistência “ON”;


- 5Ω típico para VCC = +4,5V;
- 4Ω típico para VCC = +6,0V;
- 3Ω típico para VCC = +9,0V;

• Freqüência máxima de operação;


- 180 MHz para VCC=+4,5V, RL=50Ω e CL=10pF;
- 200 MHz para VCC=+9,0V, RL=50Ω e CL=10pF;

• Máxima capacitância da chave de 8pF;

51
Figura 13 - Símbolo lógico e tabela de acionamento do CI 74HC4066.

O circuito integrado utilizado para acionamento das chaves analógicas utilizado é o


MC74HC4017N[14]] fabricado pela MOTOROLA. Este circuito integrado é um contador de
décadas que utiliza na sua arquitetura interna cinco estágios de contadores Johnson e os
decodifica promovendo uma operação em alta velocidade. A Figura 14 mostra o diagrama
lógico deste circuito juntamente a sua tabela funcional. Este circuito integrado foi escolhido
devido a algumas de suas características dentre as quais:

• Saídas diretamente interfaceadas para CMOS, NMOS e TTL;


• Alimentação: VCC = -0,5V à VCC = +7,0V;
• Alta imunidade a ruído de dispositivos CMOS;
• Baixa corrente de entrada: 1 µA;
• Máxima freqüência de clock (50% duty cycle);
- 4 MHz para VCC=+2,0V e -55ºC<TAMB<25ºC
- 20 MHz para VCC=+4,5V e -55ºC<TAMB<25ºC
- 24 MHz para VCC=+6,0V e -55ºC<TAMB<25ºC

52
Figura 14 - Diagrama lógico e tabela funcional do CI 74HC4017N.

Generalizando, a característica principal pela qual estes dois circuitos integrados


foram escolhidos é a sua freqüência máxima de operação, pois este é um fato determinante
para o projeto. A freqüência máxima de comutação a qual será submetida cada uma das
chaves analógicas será a própria freqüência de sintonia do receptor e a freqüência máxima do
sinal TTL na entrada do CI para acionamento das chaves é quatro vezes maior, então,
teremos:

f tunning = 1600kHz

ftayloe = 4.1600kHz

f tayloe = 6, 4 MHz

Portanto, a freqüência máxima do sinal aplicado na entrada de controle da chave e na


entrada do CI para acionamento das chaves para a tensão de alimentação de +5V é dada,
respectivamente por:

f máx74 HC 4066 ≃ 180 MHz

f comutaçãomáx = 1, 6MHz

f comutaçãomáx < f máx74 HC 4066


53
1,6MHz < 180MHz

f máx74 HC 4017 ≃ 20 MHz

f sinalmáx = 1, 6 MHz

f sinalmáx < f máx74 HC 4017

6, 4MHz < 20MHz

Estas relações demonstradas acima deixam bem claro que o circuito não apresentará
problemas quando estiver operando na sua freqüência máxima de sintonia. A Figura 15 ilustra
o circuito do detector de produto de Tayloe.

54
Figura 15 - Circuito do detector de produto de Tayloe.

Como podemos ver, após passar por um resistor que no caso é representado pela
impedância da antena o sinal de entrada é ligado diretamente as entradas das quatro chaves,
em paralelo. Quando uma chave é fechada o circuito resultante é um circuito RC, passa-baixa,
e da equação (5.3) calcularemos o valor dos capacitores C1, C2, C3 e C4 para uma largura de
banda determinada.
Um sinal modulado em amplitude tem por definição que o sinal de banda base é um
sinal de voz, então, sua largura de banda será determinada por:

BWBB = 4kHz

Da equação (5.3), o valor dos capacitores C1, C2, C3 e C4 serão dados por:

1
BWBB = Hz
2.π .R. ( 4.C )

55
Onde o resistor  é dado pela impedância de entrada da antena e ainda a resistência da
chave quando q mesma encontra-se fechada (ON). Da folha de dados do circuito integrado
74HC4066 a resistência que as chaves apresentam quando se encontram em estado ON é:

Rswitchon ≃ 50Ω

Então:

R = Rswitchon + R _ ANTENAΩ

R = 50 + 50Ω
R = 100Ω

Portanto, o valor de C será:

1
C= F
2.π .R.4.BWBB
1
C= F
2.π .100.4.4000
C ≃ 100nF

Sendo que:

C1 = C 2 = C3 = C 4 = C

O circuito integrado 74HC4066 será alimentado com uma tensão de +5V. Na sua folha
de dados, temos que com uma alimentação unipolar, quando a chave estiver fechada, somente
passará sinais por ela que estejam compreendidos entre sua tensão de alimentação, portanto,
será adicionada uma tensão DC ao sinal de entrada vindo da antena, para não ocorrer perda da
parte negativa deste sinal. O acionamento das chaves é realizado pelo circuito integrado
74HC4017, que disponibiliza 10 saídas digitais, sendo que somente são utilizadas 4 destas
saídas e para isto é utilizado o pino de master reset, que é acionado quando ocorre mudando
de nível lógico baixo para nível lógico alto na saída Q4 do mesmo.

56
Como todo o circuito será alimentado com a tensão de +5V, faremos um divisor
resistivo a fim de acrescentar essa tensão contínua na entrada da chave analógica. O circuito é
dado pelos componentes: R1, R2, R3, C5 e C6. Os resistores R1 e R2 formarão um divisor
resistivo com o valor da metade da tensão de alimentação, sendo que os capacitores C5 e C6
terão a função de estabilizar a tensão caso ocorra oscilações na fonte de alimentação do
circuito sendo que a tensão gerada é injetada nas entradas das chaves analógicas através do
resistor R3. Portanto, faremos com que:

R1 = R2 = 6,8k Ω

R3 = 270Ω

C5 = 22 µF

C6 = 100nF

2.2.3. Circuito dos amplificadores diferenciais

A função deste circuito é realizar a subtração de quatro sinais de banda base provindos
das quatro saídas do detector de produto de Tayloe. Essa soma é realizada através de
amplificadores operacionais. Após uma análise de diversos circuitos integrados de
amplificadores operacionais, por diversos fatores o amplificador operacional utilizado será o
circuito integrado NE5534[13] fabricado pela Philips Semiconductors. Suas principais
características são:

• Tensão de ruído de entrada máxima de 4  ⁄√;


• Ganho de tensão AC: 6000 à 10kHz;
• Slew rate: 13V/µs;
• Tensão de alimentação: ±3V à ±20V.

Na Figura 16 temos o circuito de um dos amplificadores somadores.

57
Figura 16 - Circuito de um amplificador diferencial.

Neste circuito, idealmente deveríamos ter um resistor conectado ao terminal positivo


do amplificador operacional para ser considerado como um amplificador na configuração
diferencial. Como o ganho dos amplificadores deve ser ajustado devido ao fato dos sinais
disponibilizados pelo detector de Tayloe possuírem um leve desbalanceamento, este corrigido
pelo ganho deste circuito, o valor destes resistores não presentes neste circuito deveriam
mudar seu valor conforme o ganho é ajustado. Em virtude disso, o resistor é desprezado, não
acarretando mudanças significativas no ganho do circuito.
Da equação geral do funcionamento dos amplificadores operacionais presente no
Anexo C:

  Rpp   R fn    R fn 
V0 = Vi1.    . 1 +   − Vi 2 .  
  Rpi + Rpp
   Rni    Rni  (6.8)

Onde:
R pp → ∞

R pp
lim =1
R pp →∞ R pi + R pp

Como o sinal na entrada negativa está defasado 180º do sinal na entrada positiva,
podemos dizer que:

Vi 2 = −Vi1

58
 R fn   R fn 
V0 = Vi1. 1 +  − (−Vi1 ).  
 Rni   Rni 
 R fn 
V0 = Vi1. 1 + 2. 
 Rni 

Portanto, o ganho do circuito como podemos ver na equação (6.9) é dado por:

V0 R fn
Av1 = = 1 + 2.
Vi1 Rni

Como o ganho deste circuito é elevado e o mesmo é determinado pela relação dos
resistores R fn e Rni :

R fn
>> 1
Rni

Portanto, em relação à entrada Vi1 e em relação Vi1 e Vi 2 as saída do circuito da Figura


16 são determinado respectivamente por:

 R fn 
V0 ≈  2.  .Vi 2 (6.9)
 Rni 
 R fn 
V0 ≈   . (Vi1 − Vi 2 ) (7.0)
 Rni 

59
Figura 17 - Circuito dos amplificadores diferenciais.

Os amplificadores operacionais têm alimentação unipolar como mostra a Figura 17,


pois o receptor terá disponível somente este tipo de fonte de alimentação. O amplificador
operacional, quando alimentado com fonte de alimentação unipolar deverá ter uma tensão
com o valor da metade da fonte de alimentação somado a entrada positiva, mas, como o sinal
de RF antes de demodulado pelo detector de produto de Tayloe já está com um off-set DC de
valor +2,5V, o mesmo torna-se desnecessário.
Da Figura 17 temos dois amplificadores operacionais, sendo um destinado a somar
dois sinais (0 degrees-180 degrees) para formar o sinal em fase (I) e o outro destinado a somar
dois sinais (90 degrees-270 degrees) para formar o sinal em quadratura (Q). As saídas em fase
(I) e em quadratura (Q) são determinadas pela equação (7.0):

 R fn 
V0 =   . (Vi1 − Vi 2 ) (7.0)
 Rni 

R fn
Vin − phase = .(0degrees − 180degrees )
Rni (5.8)
R fn
Vquadrature = .(90degrees − 270degrees )
Rni (5.9)

60
Como:

180degrees = −0degrees
(6.0)
270 degrees = −90 degrees
(6.1)

Substituindo as equações (6.0) e (6.1) respectivamente nas equações (5.8) e (5.9):

R fn
Vin − phase = .(0 degrees − (−0degrees ))
Rni (6.2)
R fn
Vin − phase = .(0degrees + 0degrees )
Rni (6.2)
R fn
Vin − phase = 2. .(0degrees )
Rni (6.2)

R fn
Vquadrature = .(90 degrees − (−270degrees ))
Rni (6.3)
R fn
Vquadrature = .(90 degrees + 90degrees )
Rni (6.3)
R fn
Vquadrature = 2. .(90degrees )
Rni (6.3)

Das equações (6.2) e (6.3) podemos ver que são gerados os dois sinais em quadratura
e, além do ganho proporcionado pelos resistores R fn e Rni este circuito apresenta ainda um

ganho de valor igual a dois, pois estamos somando diferencialmente dois sinais defasados de
180º. Os valores de R fn , Rni e C fn determinarão o ganho necessário para termos na saída

destes amplificadores um sinal com amplitude ideal para o processamento digital que ocorrerá
no microcontrolador.

61
2.2.4. Circuito deslocador de fase e somador

A função deste circuito é defasar os sinais provindos do circuito dos amplificadores


somadores de tal maneira a que os mesmos possam ser somados, resultando em um único
sinal. O amplificador operacional utilizado no circuito de deslocamento de fase é o
TL074[17]] fabricado pela ST Microeletronics, e o mesmo foi escolhido devido a algumas
características vantajosas que o mesmo possui em relação a outros operacionais do mercado
se destacando o fato deste circuito integrado possuir quatro amplificadores operacionais
internamente. Para o circuito somador foi utilizado o amplificador operacional NE5534
descrito no capítulo 2.2.3. As principais características do circuito integrado TL074 são:

• Baixo ruído 15 ⁄√ (típico);


• Entradas J-Fet de alta impedância;
• Alto slew rate: 13V/µs;
• Tensão de alimentação: ±18V.

Na Figura 18 temos o circuito de deslocamento de fase.

Figura 18 - Circuito de deslocamento de fase.

62
Este circuito é constituído da associação de filtros passa-tudo, que são subdivididos
em duas redes, de forma que o nível de tensão de suas saídas pemaneçam constantes, variando
somente as suas fases, uma em relação à outra, resultando em uma defasagem de 90º entres os
sinais de saída Vo1 e Vo 2 . A fim de minimizar o erro de fase entre as duas redes e melhorar o
desempenho destes filtros um número de seções são cascateados de tal maneira que as
duas redes sigam cada uma com uma faixa de freqüência de interesse distinta a fim de
manter a amplitude constante. Considerando Vin um sinal senoidal:

Vin (t ) = sin(ω.t )

Fasorialmente:

Vin ∠0º

A defasagem dos sinais de saída Vo1 (t ) e Vo 2 (t ) em relação ao sinal de entrada Vin (t )


é desconhecida, porém é conhecida a defasagem entre os dois sinais de saída, como de
interesse. Portanto, os sinais de saída de forma fasorial e temporal respectivamente são:

Vo1∠α º Vo1 (t ) = sin(ω.t + α )

Vo 2 ∠α º +90º Vo1 (t ) = sin(ω.t + 90º )

Os sinais provindos do circuito dos amplificadores somadores podem ser considerados


como um mesmo sinal, pois possuem amplitudes iguais, porém com fases diferentes. Estes
sinais em quadratura podem ser representados temporalmente por:

V0º (t ) = cos(ω0 .t )

V90º (t ) = sin(ω0 .t )

Fasorialmente, representando os dois sinais com um único sinal somente com fase
diferente:
V0º (t ) = cos(ω0 .t ) → V0º ∠α º

63
V90º (t ) = sin(ω0 .t ) → V0º ∠α º +90º

Portanto, conforme a Figura 18 se injetarmos o sinal V0º (t ) na rede de deslocamento

superior e o sinal V90º (t ) na rede de deslocamento inferior teremos:

Vo1 = V0º ∠α º

Vo 2 = V0º ∠α º +90º +90º = V0º ∠α º +180º

Como os sinais Vo1 e Vo 2 tem defasagem de 180º um do outro, podemos dizer que:

Vo1 = V0º ∠α º

Vo 2 = −V0º ∠α º

Estes dois sinais então são somados diferencialmente pelo circuito somador,
resultando em um sinal com o dobro da amplitude, ou seja, incrementando um ganho 2 a este
circuito. O circuito deslocador de fase e somador e mostrado da Figura 19.

Figura 19 - Circuito deslocador de fase e somador.

64
O circuito implementado mostrado na Figura 19 apresenta duas seções, cada uma com
dois filtros cascateados resultando em n = 4 , sendo tão menor o erro de fase entre os dois
sinais de saída quanto maior a ordem do filtro, representado por n . Na literatura técnica
adota-se R = 10 k Ω com precisão de 1%. Com este valor para R o circuito apresenta ganho
unitário e então é necessário determinar as constantes de tempo determinadas por R1.C1 ,

R2 .C2 , R3 .C3 e R4 .C4 . Para se determinar esses valores foi elaborado um programa no
software Mathematica da Wolfram Research (Anexo D) pelo professor orientador.
A versatilidade do programa permite fazer a estimativa dos valores das constantes de
tempo para rede de diferentes ordens. De posse dos valores das raízes e das constantes,
atribui-se valores aos capacitores para se determinar os valores dos resistores ou vice-versa.
O programa disponibiliza raízes positivas e negativas, sendo as positivas correspondentes a
uma rede e as negativas a outra. Como o sinal de entrada é um sinal de voz, a largura de
banda do circuito de deslocamento de fase e a ordem do filtro respectivamente são
determinadas por:

f1 = 200 Hz

f 2 = 5kHz
n=4

Os valores das raízes disponibilizadas pelo programa:

P1 = 11496,1

P2 = 763,41

P3 = -51713,4

P4 = -3434,08

Portanto, adotando R1 = R2 = R3 = R4 = 10k Ω os capacitores são determinador por:

1 1
C1 = = ≃ 8, 7 nF
R1.P1 10k .11496,1

1 1
C2 = = ≃ 130nF
R2 .P2 10k .763,41
65
1 1
C3 = = ≃ 1,9nF
R3 .P3 10k .51713,4

1 1
C4 = = ≃ 29nF
R4 .P4 10k .11496,1

O circuito somador é um amplificador operacional na configuração diferencial. Como


o ganho do mesmo é unitário:

R13 = R14 = R15 = 10k Ω

Como a alimentação do circuito é unipolar, na entrada positiva do amplificador


operacional devemos acrescentar uma tensão contínua no valor da metade da fonte de
alimentação para fins de polarização. Deste modo, foi utilizado um divisor resistivo, onde a
impedância em corrente alternada deve ser exatamente igual ao valor de R15 , portanto:

R16 = R17 = 20k Ω

A impedância equivalente em regime de corrente alternada:

R16 .R17 20k .20k


ReqAC = = = 10k Ω
R16 + R17 20k + 20k

O capacitor C8 limita o ganho do circuito em alta freqüência, desempenhando o papel

de um filtro. A largura de banda do circuito é de 5kHz, então:

1 1
C8 = = = 3, 2nF
2.π . f c .R15 2.π .5k .10k

66
2.2.5. Circuito do oscilador local

A função do circuito do oscilador local é gerar um sinal de freqüência quatro vezes


maior que o sinal da portadora desejada na recepção e injetá-lo no circuito integrado
74HC4017 que fará o correto acionamento das quatro chaves do detector de produto de
Tayloe. O circuito integrado utilizado para este fim é o AD9833[16], fabricado pela Analog
Devices.
Este circuito integrado é um DDS (Direct Digital Synthesis). O mesmo requer um
clock de referencia de até 25 MHz, um resistor de baixa precisão e capacitores de
desacoplamento para disponibilizar sinais gerados digitalmente, com freqüência de até 12,5
MHz. Adicionalmente, para gerar estes sinais de RF, este circuito integrado é plenamente
capaz de gerar uma gama de simples e complexos esquemas de modulação. Estes esquemas
de modulação são totalmente implementados no domínio digital, permitindo precisas e
simples realizações da modulação de complexos algoritmos utilizando técnicas dos
processadores digitais de sinais. O circuito interno do AD9833 consiste das seguintes seções
principais:

• Oscilador controlado numericamente + moduladores de fase: Essa seção consiste


de dois registradores de seleção de freqüência, um acumulador de fase, dois
registradores de offset de fase e um somador de offset de fase. O principal
componente do NCO (Numerical Controlled Oscillator) é um acumulador de fase
de 28 bits que monta a componente de fase do sinal de saída. Sinais contínuos no
tempo tem uma faixa de variação de fase que varia entre 0 e 2π. Fora desta faixa
de números, uma função senoidal repete-os de maneira periódica. Na
implementação digital não é diferente. O acumulador simplesmente escalona a
faixa de fase dentro de uma palavra digital. O acumulador de fase no AD9833 é

implementado com 28 bits, sendo assim, 228 = 2π . Da mesma forma, o termo


∆ é escalonado dentro de uma faixa que varia de 0 < ∆ phase < 228 − 1 .

Portanto, a freqüência do sinal de saída é determinada por;

∆ phase . f MCLK
f =
2 28

67
A entrada para o acumulador de fase pode ser selecionada pelos registradores
FREQ0 e FREQ1 sendo estes controlados pelo bit FSELECT. Estes osciladores
controlados numericamente geram inerentemente sinais fase continua evitando
assim qualquer descontinuidade quando se altera o valor da freqüência destes
sinais. Seguinte ao NCO, um offset de fase pode ser adicionado para realizar
modulação de fase, utilizando registradores de fase de 12 bits. O conteúdo de um
desses registradores é adicionar valores de fase nos mais significativos bits do
NCO. O AD9833 possui dois registradores de fase, e a resolução desses
registradores é de 2⁄4096.

• SIN RON: para fazer a saída de um NCO ser útil, ela deve ser convertida para uma
informação de fase dentro de um valor senoidal. Desde que a informação de fase
mapeie diretamente na amplitude, o SIN ROM usa a informação de fase digital
como um endereço para uma tabela, e converte essa informação em amplitude.
Apesar de o NCO conter um acumulador de fase de 28 bits, a saída do NCO é
truncada em 12 bits. Usando a máxima resolução do acumulador de fase é
impraticável e desnecessário uma vez que iria requerer uma tabela com 2"#
entradas. É necessário apenas ter suficiente resolução de fase tal que os erros do
truncamento sejam menores que a resolução do DAC de 10 bits. Isso requer que o
SIN ROM tenha apenas dois bits de resolução de fase a mais que o DAC de 10
bits.

• Conversor analógico para digital (DAC): o AD9833 tem um conversor digital


para analógico de 10 bits de fonte de corrente de alta impedância. O DAC recebe
as palavras digitais do SIN ROM e converte-as dentro das tensões analógicas
correspondentes. O DAC é configurado para operação single-ended. Nenhum
resistor de carga é requerido, pois o dispositivo possui um resistor de 200 (Ω)
internamente. O DAC gera uma tensão de saída típica de 0,6Vpp.

• Regulador: VDD provém de uma fonte de alimentação requerida para a seção


analógica e para a seção digital do AD9833. Essa fonte de alimentação pode ter
valores de +2,3V à +5,5V. A seção digital interna opera a +2,5V. Um regulador
interno regula a tensão aplicada em VDD para +2,5V. Quando uma tensão
aplicada no pino VDD do AD9833 é igual ou menor que +2,7V, o pino CAP/2.5V
68
e o pino VDD devem ser ligados juntos deste modo contornando o regulador
interno.

A descrição funcional deste circuito integrado é dada em uma interface serial. O


AD9833 tem uma interface serial de 3 pinos, que é compatível com os protocolos de
comunicação SPI, QSPI, MICROWIRE e DSP. O dado é carregado no dispositivo como uma
palavra digital de 16 bits sob o controle de um clock de entrada serial, SCLK. O diagrama
temporal de comunicação é dado na Figura 20.

Figura 20 - Diagrama temporal do protocolo de comunicação SPI.

Os registradores de controle do AD9833 são registradores de 16 bits. Todos os bits de


controle, exceto o bit MODE, são amostrados na borda negativa do sinal de MCLK. Na
Tabela 3 temos a descrição individual de cada um dos bits dos registradores de controle.

Bit: Nome: Função:


D15 D15 Deve ser zero para alterar o conteúdo dos registradores de controle. Para
outras operações indica o registrador ao qual ser quer alterar.
D14 D14 Deve ser zero para alterar o conteúdo dos registradores de controle. Para
outras operações indica o registrador ao qual ser quer alterar.
D13 B28 Duas operações são requeridas para carregar completamente uma palavra
dentro dos registradores de freqüência.
B28=1 permite uma palavra completa para ser carregada em um registrador
de freqüência em duas escritas consecutivas. A primeira escrita contém o
14 bits LSB do registrador de freqüência e a próxima escrita contêm os 14
bits MSB. Os primeiros 2 bits de cada palavra de 16 bits define o

69
registrador de freqüência ao qual será carregado, e deveria ser o mesmo
para as duas escritas.
Quando B28=0 o registrador de freqüência de 28 bits opera como 2
registradores de 14 bits, um contendo os MSB e os outro contendo os LSB.
Isso significa que os 14 bits MSB podem ser alterados independentemente
dos 14 bits LSB e vice e versa. Para alterar os 14 bits MSB ou os 14 bits
LSB uma simples escrita deve ser feita para o endereço do correto
registrador de freqüência. O bit de controle D12 (HLB) informa para o
AD9833 se os bits a serem alterados são os 14 MSB ou os 14 LSB.
D12 HLB Esse bit de controle permite ao usuário carregar continuamente os bits MSB
ou LSB do registrador de freqüência ignorando os 14 bits restantes. Este bit
é útil se não for necessário os 28 bits de resolução. O bit HLB é usado em
conjunto com o bit D12 (B28). Este bit de controle indica se os 14 bits a
serem carregados serão transferidos para os 14 MSB ou para os 14 LSB do
registrador de freqüência. D13 (B28) deve ser setado para “0” para poder
carregar os 14 bits MSB e LSB separadamente. Quando D13(B28)=1, esse
bit de controle é ignorado.
HLB=1 permite uma escrita para os 14 MSB do registrador de freqüência.
HLB=0 permite uma escrita para os 14 LSB do registrador de freqüência.
D11 FSELECT O bit FSELECT define se o registrador acumulador de fase usado será o
FREQ0 ou o FREQ1.
D10 PSELECT O bit PSELECT define se o dado do registrador PHASE0 ou do registrador
PHASE1 é adicionado na saída do acumulador de fase.
D9 Reservado Esse bit deve ser setado para “0”.
D8 RESET RESET=1 reseta os registrador internos para “0”, que corresponde a uma
saída analógica no meio da escala.
RESET=0 desabilita o reset.
D7 SLEEP1 Quando SLEEP1=1 o clock interno MCLK é desabilitado. A saída do DAC
permanecerá com o valor presente, pois o NCO não acumula mais.
Quando SLEEP1=0 o clock interno MCLK está habilitado.
D6 SLEEP12 Quando SLEEP12=1 o DAC é desabilitado. Isto é útil quando o AD9833 é
usado como saída o MSB de dado do DAC.
SLEEP12=0 implica que o DAC está ativo.
D5 OPBITEN A função deste bit, em conjunto com o bit D1 (MODE), é de controlar qual
será a saída no pino VOUT.
Quando OPBITEN=1 a saída do DAC não está disponível no pino VOUT.

70
Por outro lado, o MSB (ou MSB/2) do DAC é conectado para o pino
VOUT. Isso é usado como fonte de clock. O bit DIV2 controla se a saída
será MSB ou MSB/2.
Quando OPBITEN=0 o DAC é conectado a saída VOUT. O bit MODE
determina será da forma senoidal ou rampa o sinal de saída disponível.
D4 Reservado Esse bit deve ser setado para “0”.
D3 DIV2 DIV2 é usado em conjunto com o bit D5 (OPBITEN.
Quando DIV2=1, o MSB do dado do DAC é ligado diretamente para o pino
VOUT.
Quando DIV2=0, o MSB/2 do dado do DAC é disponibilizado no pino
VOUT.
D2 Reservado Esse bit deve ser sempre “0”.
D1 MODE Esse bit é usado em conjunto com o bit OPBITEN (D5). A função desse bit
é controlar que saída terá no pino VOUT quando o DAC do circuito
integrado é conectado a saída VOUT.
Quando MODE=1, o SIN ROM é contornado, resultando em um sinal
rampa na saída do DAC.
Quando MODE=0, o SIN ROM é usado para converter a informação de
fase dentro da informação de amplitude que resulta em um sinal senoidal na
saída VOUT.
D0 Reservado Esse bit deve ser sempre “0”.
Tabela 3 - Descrição dos bits dos registradores de controle.

O AD9833 possui dois registradores de freqüência e dois registradores de fase,


definidos respectivamente por FREQ0, FREQ1, PHASE0 e PHASE1. A saída analógica do
AD9833 é então, dada por:

f MCLK
fout = .FREQREG
228

Onde FREQREG é o valor carregado dentro do registrador de freqüência selecionado.


Este sinal será deslocado em fase por:

71
2.π
pout = .PHASEREG
4096

Onde PHASEREG é o valor carregado no registrador de fase selecionado. Na Tabela 4


temos a descrição dos registradores de freqüência e fase.

Registrador Tamanho Descrição


FREQ0 28 bits Registrador de freqüência zero. Quando o bit FSELECT=0, este
registrador define a freqüência de saída como uma fração da
freqüência de MCLK.
FREQ1 28 bits Registrador de freqüência um. Quando o bit FSELECT=1, este
registrador define a freqüência de saída como uma fração da
freqüência de MCLK.
PHASE0 14 bits Registrador de offset de fase zero. Quando PSELECT=0, o
conteúdo desse registrador é adicionado à saída do acumulador de
fase.
PHASE1 14 bits Registrador de offset de fase um. Quando PSELECT=1, o conteúdo
desse registrador é adicionado à saída do acumulador de fase.
Tabela 4 - Registradores de freqüência e fase.

Quando escrevermos em um registrador de freqüência, os bits D15 e D14 são o


endereço do registrador de freqüência. Na Tabela 5 temos a descrição dos bits dos
registradores de freqüência.

D15 D14 D13 D0


0 1 MSB 14FREQ0REGBITS LSB
1 0 MSB 14FREQ1REGBITS LSB
Tabela 5 - Bits dos registradores de frequência.

Se o usuário quer alterar o conteúdo de um registrador de freqüência, duas escritas


consecutivas para o mesmo endereço devem ser executadas, pois os registradores de

72
freqüência têm resolução de 28 bits, sendo que as primeiras escritas contem os 14 LSB e a
segunda os 14 MSB. Neste modo de operação o bit B28 (D13) deve ser setado para “1”.
Quando escrevermos em um registrador de fase, os bits D15 e D14 são setados para
“1” e o bit D13 identifica qual dos registradores de fase será carregado, pois os registradores
de fase têm resolução de 14 bits. Na Tabela 6 temos a descrição dos bits dos registradores de
fase.

D15 D14 D13 D12 D11 D0


1 1 0 X MSB 12PHASE0BITS LSB
1 1 1 X MSB 12PHASE1BITS LSB
Tabela 6 - Bits dos registradores de fase.

O AD9833 dispõe de uma variedade de sinais de saída, sendo todas disponibilizadas


no pino VOUT. Os bits OPBITEN (D5) e MODE (D1) do registrador de controle são usados
para definir que saída estará disponível no pino VOUT. Na Tabela 7 temos os bits que devem
ser configurados e os sinais de saída correspondentes.

OPBITEN MODE DIV2 VOUT


Bit Bit Bit Pin
0 0 X Senoidal
0 1 X Up/down rampa
1 0 0 Dado DAC MSB/2
1 0 1 Dado DAC MSB
1 1 X Reservado
Tabela 7 - Saídas correspondentes aos bits de controle.

Inicialmente, quando o circuito integrado é alimentado, o mesmo deverá ser


inicializado sendo possível, somente depois de isso ter algum sinal disponível em sua saída.
Após a sua inicialização poderemos mudar somente os valores dos registradores de freqüência
e fase e os mesmos ajustarão o sinal de saída. Na Figura 21 e 22 temos respectivamente os
fluxogramas de inicialização e de escrita no AD9833.

73
Figura 21 - Fluxograma de inicialização do AD9833.

Figura 22 - Fluxograma de escrita no AD9833.


74
O circuito do oscilador local utilizando o circuito integrado AD9833 é mostrado na
Figura 23. A entrada MCLK é à entrada do clock de referência para o AD9833, sendo que
este sinal será gerado através de um módulo oscilador de freqüência de 25MHz. As entradas
FSYNC, SCLK, SDATA são os pinos de comunicação, que são interfaceados com o
microcontrolador. O sinal de saída 4xFc é o sinal interesse que corresponde a um sinal de
clock injetado diretamente
ente a entrada do circuito integrado 74HC4017, responsável pelo
acionamento das chaves do detector de Tayloe.

Figura 23 - Circuito do oscilador local.

2.2.6. Circuito do microcontrolador

O microcontrolador desempenha um papel fundamental


fundamental no circuito do receptor, pois é
responsável pela sintonia do
d mesmo. Esta é realizada pelo oscilador local, sendo que este
recebe informações via comunicação SPI do microcontrolador com o AD9833. O
microcomputador, através de um software desenvolvido
desenvolvido para este propósito envia dados ao
microcontrolador, que interpreta-os
interpreta e ajusta a freqüência do sinal de saída do AD9833 para o
valor correspondente. Quando o usuário desejar alterar a freqüência de sintonia, o
micromputador envia o dado para o microcontrolador,
microcontrolador, que entra numa rotina de interrupção
onde efetua essa mudança.

75
O microcontrolador utilizado é o PIC18F2431[15], designado de Microcontrolador
Flash, 28 pinos com tecnologia nano Watt, PWM e A/D de alto desempenho. Abaixo temos
algumas das características principais deste circuito integrado que levaram a sua utilização.

• Conversor A/D de 10 bits de alta velocidade e 200 Ksps;


- Até nove canais
- Amostragem simultânea de dois canais
- Amostragem seqüencial de 1, 2 ou 4 canais selecionados
- Capacidade de auto-conversão
- FIFO de 4 palavras com interrupção de freqüência selecionável
- Triggers de conversão externos selecionáveis
- Tempo de aquisição programável

• Flexível estrutura do oscilador;


- Quatro modos para cristal até 40 MHz
- Dois modos de clock externo até 40 MHz

• Memória de programa de 16kbytes;

• Memória de dados de 768bytes (SRAM) +256bytes (EEPROM);

Na Figura 24 e na Tabela 8 temos respectivamente o circuito do microcontrolador e a


descrição de cada um dos pinos do microcontrolador utilizados. O cristal externo utilizado é
um cristal de freqüência igual a 10MHz. Como o microcontrolador possui internamente um
módulo PLL (phase locked-loop), este multiplica a freqüência do clock externo por um valor
determinado. Sendo assim, para trabalhar-se com a freqüência máxima do dispositivo que é
40 MHz, este módulo interno deve multiplicar por quatro o valor da freqüência do clock do
cristal externo. O resistor R1 juntamente com os capacitores C4 e C5 mais o push-botton
formam o circuito de reset do microcontrolador.

76
Figura 24 - Circuito do microcontrolador.

Nome Direção dados Descrição


SCLK Saída Sinal de clock para comunicação SPI.
SDATA Saída Saída de dados para comunicação SPI.
FSYNC Saída Bit de sincronismo para comunicação SPI.
D0 ~ D7 Entrada/Saída Entradas e saídas de dados para o conversor
serial/USB.
RD# Saída Bit de controle do conversor serial/USB.
WR Saída Bit de controle do conversor serial/USB.
TXE# Entrada Bit de controle do conversor serial/USB.
RXF# Entrada Bit de controle do conversos serial/USB.
Tabela 8 - Descrição dos pinos utilizados do microcontrolador.

2.2.7. Circuito conversor Paralelo/USB

O ajuste de sintonia do receptor é feito através de um microcomputador em um


software específico. Como meio de comunicação entre hardware e software foi utilizado o
protocolo USB, sendo este realizado através do circuito integrado FT245BL[18] fabricado pela
FTDI (Future Technology Device Intl.) que é conversor de dados paralelo-USB.
O FT245BL implementa um FIFO de leitura e escrita através de uma porta
bidirecional de 8 bits. A escolha deste dispositivo não requer a utilização de memórias para
armazenamento dos dados a serem enviados para o PC uma vez que este CI possui um buffer
FIFO de transmissão de 384 bytes e um FIFO de recepção de 128 bytes.
77
Para o desenvolvimento da aplicação no microcomputador pode ser utilizado os
drivers disponibilizados pelo fabricante. Existem dois tipos de drivers sendo um chamado de
VCP (virtual com port) onde o microcomputador interpreta como uma porta série COM
virtual. O outro driver é chamado de D2XXX em que o microcomputador se comunica com o
mesmo via biblioteca dinâmica (DLL) e neste caso é necessário conhecer-se as especificações
das funções implementadas pela DLL. As taxas de transmissão variam conforme o driver
utilizado, chegando a valores de até 1 Megabyte por segundo utilizando o driver D2XXX.
O ciclo de leitura, conforme diagrama temporal mostrado na Figura 25 é realizado
quando dados são enviados do microcomputador. A recepção destes dados só pode ser feitas
quando o bit de controle RXF=0, o que indica que o buffer de recepção tem dados para serem
lidos no FIFO de recepção. Para leitura deste dado é necessário um pulso de descida (nível
lógico alto para nível lógico baixo) no bit de controle RD, ficando os 8 bits de dados
disponíveis no barramento em D0...D7.

Figura 25 - Diagrama temporal de leitura no FT245BL.

O ciclo de escrita, conforme diagrama temporal mostrado na Figura 26 é realizado


quando se quer enviar dados ao microcomputador. O envio dos dados só pode ser feito se o
bit de controle TXE=0, o que indica que o buffer de transmissão não está cheio. Para os dados
serem enviados, após colocá-los no barramento D0...D7 basta um pulso de subida (nível
lógico baixo para nível lógico alto) no bit de controle WR, fazendo com que este dado fique
guardado no buffer de transmissão do FT245BL.

78
Figura 26 - Diagrama temporal de escrita no FT245BL.

Para serem iniciados os ciclos de leitura e escrita a aplicação do microcomputador


deve primeiramente testar se o dispositivo USB está ligado. Após, o dispositivo deve ser
resetado, a porta COM fica aberta e as variáveis são inicializadas. Os buffers de transmissão e
recepção devem ser esvaziados e as taxas de transmissão devem ser definidas assim como os
respectivos tempos limite. Somente depois da realização destes passos a aplicação está apta a
se comunicar com o dispositivo.
No projeto optou-se por trabalhar com os drivers diretos, ou seja, o D2XXX que se
comunica via DLL sendo utilizadas as funções mostradas no guia do programador[11] também
disponibilizado pelo fabricante do circuito integrado. O circuito externo ao FT245 é dotado de
alguns capacitores, resistores e indutores, tal qual mostrado na Figura 27. O circuito integrado
93C46A (opcional) é uma memória EEPROM serial de 16 bits e 1kbyte, sendo esta para
gravação do número de série, descrição do dispositivo dentre outras aplicações.

79
Figura 27 - Circuito conversor Paralelo/USB.

2.3.Desenvolvimento do software

A função do aplicativo desenvolvido em ambiente Windows é controlar a freqüência


de sintonia do receptor de rádio. O software em questão foi desenvolvido em linguagem de
programação C++ em um ambiente de desenvolvimento integrado chamado de Borland C++
Builder, que é uma ferramenta de programação visual orientada a objetos.
O aplicativo possui somente uma tela de controle como podemos ver na Figura 28.
Neste aplicativo é possível abrir e fechar a porta de comunicação USB tal como controlar
algumas funções básicas em um receptor, como a freqüência de sintonia, memória de estações
dentre outras descritas no item 2.3.1. No ANEXO E temos o código do aplicativo.

80
Figura 28 - Tela do software do receptor AM.

2.3.1. Descrição do software de controle do receptor

Como mostrado na Figura 26, a tela de controle do aplicativo dispõe de algumas


funções de controle e outras de visualização. Como complemento o aplicativo disponibiliza
hora e dia atual. Na tela principal temos:

• Scope: disponibiliza ao usuário a forma de onda do sinal de banda base recebido,


que está sendo injetado a placa de som do microcomputador;

• Áudio input: botão utilizado para selecionar o dispositivo de áudio presente no


microcomputador;

• Record: botão utilizado para iniciar gravação do sinal de áudio que será
recebido. O mesmo é gravado em um arquivo do tipo WAVE;

• Play: botão que disponibiliza o sinal de áudio no objeto scope tal como na saída
de áudio do microcomputador;

81
• Stop: botão que finaliza a recepção do sinal de áudio tanto no objeto scope como
na saída de áudio do microcomputador;

• Cutoff: disponibiliza ao usuário diferentes freqüências de corte de uma filtro


passa-baixas aplicado ao sinal de áudio injetado ao microcomputador, podendo
melhorar a qualidade do sinal recebido;

• Gain: disponibiliza ao usuário aumentar o ganho do sinal de áudio recebido,


ajustando o mesmo da melhor maneira a ser ouvido;

• Spacing: disponibiliza ao usuário diferentes valores de saltos de freqüência, que


podem ser alterados para um ajuste mais fino da freqüência de sintonia;

• Stations: permite ao usuário gravar na memória do microcomputador as estações


de rádio preferidas;

• AM-E: estes botões ajustam a freqüência de sintonia do receptor conforme


estação gravada nos espaços de “Stations”, sendo:
- AM-E1: ajusta a freqüência de sintonia do receptor para o valor do Edit
correspondente;
- AM-E2: ajusta a freqüência de sintonia do receptor para o valor do Edit
correspondente;
- AM-E3: ajusta a freqüência de sintonia do receptor para o valor do Edit
correspondente;
- AM-E4: ajusta a freqüência de sintonia do receptor para o valor do Edit
correspondente;

• VU meter: disponibiliza ao usuário visualizar a amplitude do sinal recebido


através de barras que aumentam conforma aumenta a amplitude do sinal;

• >> e <<: estes botões aumentam e diminuem respectivamente a frequencia de


sintonias do receptor, dando saltos em freqüência conforme selecionado em
“Spacing”;
• Open USB Device: abre a porta de comunicação entre o aplicativo e a placa de
hardware através do protocolo USB;
82
• Close USB Device: fecha a de para comunicação entre o aplicativo e a placa de
hardware através do protocolo USB;

• Close Program (click here): finaliza o aplicativo fechando automaticamente a


porta de comunicação entre o ele e a placa de hardware.

2.3.2. Instruções de utilização do software de controle do receptor

Para utilização deste aplicativo, devem ser seguidos alguns passos, que podem
acarretar no mau funcionamento do dispositivo. São estas:

1. Inicialmente, deve-se instalar o driver do circuito integrado FT245BL utilizado


para conversão de dados paralelos/USB. Este é disponibilizado no site do
fabricante do mesmo como “D2XXX driver”, sendo que a versão do driver
deve ser compatível com o sistema operacional Windows XP;

2. Após o dispositivo estar conectado a entrada USB do microcomputador, o


usuário deve abrir o programa “receptorAM.exe” e clicar no botão “Open USB
Device” para inicializar o aplicativo de forma correta, caso contrário, o mesmo
não funcionará. Se a mensagem recebida ao clicar neste botão for
“Error!Unplugged and plugged USB cable!” o usuário deve desconectar e
conectar novamente o cabo USB;

3. Se não aparecer nenhuma mensagem, o usuário está apto a ajustar as


freqüências das estações desejadas tal como varias os valores das freqüências
de corte e ganhos quando julgar necessário.

83
2.4.Simulações do hardware do receptor

As simulações do circuito de hardware do receptor foram realizadas no software


Proteus da LABCENTER ELETRONICS. Os valores utilizados na simulação são dados por:

f c = 500kHz

f m = 2kHz

Apin = 4mVrms

Da Figura 34 do Anexo A podemos observar a resposta em freqüência do filtro passa


baixas de entrada. Como resultados têm-se uma ótima atenuação das freqüências maiores a
1600kHz, como o desejado.
Da Figura 35 do Anexo A podemos observar o acionamento das chaves analógicas,
onde fica claro que a freqüência de chaveamento de cada chave é determinada pela freqüência
do sinal a ser recebido, porém cada chave conduz somente um quarto do período, ou seja, o
sinal possui duty cycle de 25% se comparado ao sinal da portadora Fc , que tem duty cycle de
50%.
Da Figura 36 do Anexo A temos a simulação das quatro saídas do detector de Tayloe.
No espectro da freqüência, teremos na entrada do detector um sinal que representa a soma das
duas freqüências:

fin = f c + f m

f in = 500kHz + 2kHz

f in = 502kHz

A freqüência de chaveamento na entrada do CI 74HC4017 deve ser quatro vezes


maior que a freqüência do sinal na portadora que queremos demodular, pois o mesmo realiza
a divisão de freqüência por quatro e disponibiliza na entrada de controle de cada uma das
chaves, com duty cycle de 25%. Assim, a saída do detector de Tayloe realiza a conversão de

84
freqüências para baixo (Down converting), ou seja, na saída teremos simplesmente o sinal de
banda base desejado.

4. fc
fout = fin −
4
f out = 502kHz − 500kHz

f out = 2 kHz

Outro fator bastante importante, ao qual já foi mencionado anteriormente é a baixa


perda de conversão do detector. A amplitude do sinal de entrada após o filtro passa baixas é
dada por:

Apin _ detector ≃ 3,98mVrms

Como podemos observar, o sinal de saída têm:

Apout ≃ 2, 78mVrms

Portanto como podemos ver, a perda de conversão do detector foi de:

Apout
Perdaconversão ( dB ) = 20.log( )
Apin

2, 78mVrms
Perdaconversão ( dB ) = 20.log( )
3,98mVrms

Perdaconversão = −3,16dB

Podemos ver que esse valor, poderia será tanto menor quanto menor for à resistência
imposta pela chave, sendo assim, uma variação do detector de Tayloe pode ser realizada, em
que teremos um Double Balanced Tayloe Detector que consiste de duas chaves operando em
paralelo, diminuindo assim, o valor da resistência da chave por dois. Mesmo este valor
encontrado pode ser considerado um valor bem aceitável, pois outros tipos de misturadores,

85
como por exemplo, o misturador a diodos[3], que apresenta uma perda de conversão (-6,2dB)
praticamente duas vezes maior se comparado com o detector de Tayloe.
Na Figura 37 do Anexo A temos as duas saídas (em fase (I) e em quadratura (Q))
provindas do detector de Tayloe, após os amplificadores somadores. O desbalanceamento nas
amplitudes dos dois sinais resultantes foi corrigido usando dois potenciômetros para ajustes
de ganho dos amplificadores operacionais que somam os sinais 0º-180º e 90º-270º.
Na Figura 38 do Anexo A temos os sinais I e Q após o circuito de deslocamento de
fase, onde podemos ver que os mesmos foram defasados de tal maneira a qual podem ser
somados diferencialmente, ou seja, resultando em uma diferença de fase de 180 º. Na Figura
39 do Anexo A temos o sinal de saída resultante do receptor, que é um sinal de banda base de
freqüência igual a 2kHz e amplitude 30, 61mVrms .
Na Figura 40 do Anexo A temos a resposta em freqüência do detector de produto de
Tayloe descrito acima, onde podemos notar que o mesmo se comporta como um filtro passa-
banda de alta seletividade em torno da freqüência de sintonia.

2.5.Resultados experimentais do hardware do receptor

Para a caracterização do protótipo foram estabelecidas algumas condições. Com o


gerador de sinais HP8647A da Hewllett Packard, com impedância de saída de 50Ω, valor
correspondente aquele utilizado no projeto foi gerado o sinal de entrada para o receptor, sento
este um sinal senoidal puro, com os valores:

• Freqüência do sinal de entrada: fin = 1171kHz

• Amplitude do sinal de entrada: Vpin = 4mVrms

Este sinal descrito acima foi injetado a entrada do receptor, onde após passar por um
filtro um filtro passa segue para o detector de Tayloe e posteriormente aos amplificadores
somadores. Na saída dos amplificadores somadores temos os sinais de banda base em
quadratura. O ajuste de sintonia foi realizado via software no microcomputador utilizando o
aplicativo desenvolvido especialmente para este propósito. Na Figura 29 temos a tela
principal do aplicativo, com os valores utilizados para experimentação.

86
Figura 29 - Valor da freqüência de sintonia para experimentação.

A freqüência dos sinais em fase em quadratura após os amplificadores somadores é


dada pela operação matemática:

f out = f in − f comutação

f out = 1171kHz − 1170kHz

f out = 1kHz
Onde a freqüência de comutação corresponde à freqüência mostrada na tela do
aplicativo, na Figura 27. Como os sinais de saída dos amplificadores somadores apresentaram
um leve desbalanceamento, este foi corrigido através de ajustes finos de ganho dos mesmos.
Portanto, as características destes dois sinais (ver Figura 30) foram:

VI = VQ ≃ 280mVrms @ f I = f Q ≃ 1kHz

87
Figura 30 - Sinais de saída I e Q após os amplificadores diferenciais.

Após os amplificadores diferenciais os sinais em fase em quadratura passam por um


circuito de deslocamento de fase de 90º, onde são defasados de tal maneira a que a defasagem
resultante entre os dois sinais agora seja de 180º para posteriormente serem somados
diferencialmente, resultando em um único sinal, de áudio. As características dos sinais após o
circuito de deslocamento de fase (ver Figura 31) foram:

V0º ≃ 310mVrms @ f 0º ≃ 1kHz

V180º ≃ 350mVrms @ f180º ≃ 1kHz

Figura 31 - Sinais de saída após o circuito de deslocamento de fase.

88
Após o circuito de deslocamento de fase os sinais agora defasados em 180º seguem
para o circuito somador, que têm a função de somá-los diferencialmente, resultado em apenas
um sinal com o dobro da amplitude. As características deste sinal após o circuito somador
(ver Figura 32) foi:

Vout ≃ 590mVrms @ f out ≃ 1kHz

Figura 32 - Sinal de saída de áudio do receptor.

Para uma melhor compreensão de como se comporta o circuito do hardware em


relação aos sinais de banda base, na Figura 33 temos a resposta em freqüência do mesmo, que
representa um filtro passa-baixas para o sinal de banda base, que é o sinal de interesse.

89
Figura 33 - Resposta em freqüência do hardware do receptor.

2.6.Placa de circuito impresso

O desenvolvimento da placa de circuito impresso do circuito do receptor foi realizado


com o auxílio da ferramenta ARES do Proteus da LABCENTER ELETRONICS. O circuito
final e o layout da placa podem ser visualizados respectivamente nos anexos G e Figura 43 do
anexo F. As regulamentações específicas que abordam confecção de circuitos impressos para
telecomunicações exigem placas de fibra de vidro e no desenvolvimento desta placa foi
separado o potencial de terra analógico do digital, sendo que na parte digital o placa possui
um plano de terra, não presente na parte analógica. A junção destes potenciais é realizada na
parte inferior da placa conforme Figura 43 do Anexo F.

90
CONSIDERAÇÕES FINAIS

Após a realização do estudo teórico sobre os rádios definidos por software, nota-se o
motivo pelo qual esta técnica de desenvolvimento de sistemas de telecomunicações está sendo
tão utilizada nos dias atuais, representando significativas vantagens em relação a outras
técnicas convencionais, pois a demodulação dos sinais de RF são realizadas por
microcomputadores através de determinados softwares, reduzindo gradativamente os
componentes de hardware que desenvolveriam este papel em outros tipos de receptores.

A placa de circuito impresso final do protótipo do receptor apresentou boas


características, tais quais como desejadas. O hardware do mesmo foi desenvolvido baseado
em idéias que foram se concretizando ao longo do tempo. Após a escolha de um
microcontrolador dentre tantos outros diversos no mercado foram sendo escolhidos outros
circuitos integrados que foram utilizados na etapa de conversão de freqüências, como
oscilador local, o filtro de entrada para a antena dentre outros. Após desenvolvimento do
firmware do microcontrolador e do software de controle do receptor foi realizada medições
práticas de funcionamento do receptor, apresentando resultados gratificantes, pois o mesmo
está funcionando tal qual como esperado.

91
REFERÊNCIAS BIBLIOGRÁFICAS

[1] JOHSON, David. E. et al. Fundamentos de análise de circuitos elétricos. 4ª Edição. Rio
de Janeiro: Editora Prentice-Hall do Brasil Ltda, 1994.

[2] TAYLOE, Daniel Richard inventor. Motorola Inc., assigne. Product detector and method
therefor. US patente 6,230,000 B1, 8 de maio de 2001.

[3] PETERSEN, Carlos Allan Caballero. Bases de misturadores de radio freqüência para
receptores de rádio. Universidade de Passo Fundo. 2009.

[4] Apontamentos de eletrônica rápida: Filtros para alta freqüência.


Disponível em:<www.professores.aedb.br/arlei/AEDB/Arquivos/filtros2003.pdf>
Acesso em: 12 setembro 2009.

[5] AMARAL, Por Luiz. Considerações sobre os receptores de conversão direta. Disponível
em:<http://tecnociencia.inf.br/comunidade/arqautor/luizamaral/csrc.pdf>
Acesso em: 10 setembro 2009.

[6] MARCO, João K. De. et al. SDRZero, um rádio definido por software. Disponível em:
<http://py2wm.qsl.br/SDR/O-que-eh-um-SDR.html>. Acesso em: 03 setembro 2009.

[7] SDR rádio definido por software. Disponível em:


<http://www.qsl.net/py4zbz/sdr/sdr.htm>. Acesso em: 03 setembro 2009.

[8] YOUNGBLOOD, Gerald. A Software defined radio for the Masses, Part 1. Disponível
em: <www.arrl.org/tis/info/pdf/020708qex013.pdf>. Acesso em: 02 outubro 2009.

[9] CARR, Joseph. The technician's radio receiver handbook: wireless and telecommunication
technology. Disponível em: <www.ebookee.com/The-Technician-s-Radio-Receiver-
Handbook_81921.html>. Acesso em: 04 outubro 2009.

92
[10] Amplificadores Operacionais. Disponível em:
http://www.est.ualg.pt/ADEe/disciplinas/ciclo1/ano3/semestre1/meca/material/Amplificadore
s%20Operacionais.pdf. Acesso em: 12 dezembro 2009.

[11] D2XX Programmer’s Guide. Disponível em:


<http://www.ftdichip.com/Documents/ProgramGuides/D2XX_Programmer's_Guide(FT_0000
71).pdf>. Acesso em: 09 novenbro 2009.

[12] Philips. 74HC4066 datasheet. 1998. Disponível em: < http://www.nxp.com>.

[13] Nxp. NE5534 datasheet. 1994. Disponível em: < http://www.nxp.com>.

[14] Motorola. 74HC4017 datasheet. 1995. Disponível em: <http://www.alldatasheet.com>.

[15] Microchip. PIC18F2431 datasheet. 2003. Disponível em: <http://www.microchip.com>.

[16] Analog Devices. AD9833 datasheet. 2002. Disponível em: <http://www.analog


devices.com>.

[17]ST Microeletronics. TL074 datasheet. 2001. Disponível em:


<http://www.alldatasheet.com>.

[18] Future Technology Devices Intenational. FT245BL datasheet. 2005. Disponível em:
<http://www.ftdichip.com>.

93
ANEXO A – SIMULAÇÃO NO SOFTWARE PROTEUS

Figura 34 - Resposta em freqüência do filtro passa-baixas.

Figura 35 - Acionamento das chaves analógicas.

94
Figura 36 - Saídas do detector de produto de Tayloe.

Figura 37 - Saídas I e Q do detector de produto de Tayloe.

95
Figura 38 - Saída I e Q após circuito de deslocamento de fase.

Figura 39 - Sinal de saída resultante do circuito receptor.

96
Figura 40 - Largura de banda de detecção do detector de Tayloe.

97
ANEXO B – EQUAÇÃO GERAL DE FUNCIONAMENTO DO AMPOP[10]

Figura 41 - Amplificador operacional.

Idealmente, um amplificador operacional como o mostrado na Figura 41, apresenta:

AV = ∞

Zi = ∞

Z0 = 0

Como o amplificador operacional apresenta um curto-circuito virtual entre os


terminais de entrada positivo e negativo:

VP − VN = 0

Portanto, a corrente que entre os terminais positivo e negativo do ampop é dada por:

(VP − VN )
i=
Zi
0
i= =0

98
Como é válido o princípio da superposição, por aplicação da lei das malhas de
Kirchoff temos:

1ª malha: Vi 2 − Rni .in − R pp .i p = 0 (6.4)

2ª malha: Vi1 − R pi .i p − R pp .i p = 0 (6.5)


3ª malha: V0 + R fn .in − R pp .i p = 0 (6.6)

Da 2ª malha:

Vi1
ip =
Rpi + Rpp
(6.5)

Substituindo a equação (6.5) na equação (6.4):

Vi 2 R pp .Vi1
in = −
R ni Rni .( R pi + R pp )
(6.7)

Substituindo a equação (6.7) na equação (6.6):

Vi1 R R pp .Vi1
V0 = R pp .( ) − fn .(Vi 2 − )
R pi + R pp Rni ( R pi + R pp )

Portanto, a equação geral de funcionamento de um amplificador operacional conforma


a Figura 32 é dado por:

  Rpp   R fn    R fn 
V0 = Vi1.    . 1 +   − Vi 2 .  
  Rpi + Rpp
   Rni    Rni  (6.8)

99
ANEXO C – PROJETO DO CIRCUITO DESLOCADOR DE FASE DE 90º

Para o circuito base mostrado na Figura 42 onde “n” representa o número de seções
(células) (o circuito mostrado é para n = 6 ; para n = 8 acrescentar mais uma célula acima e
uma baixo; para n = 4 tirar uma célula de cada lado). Para n maior teremos menor erro de
fase, por exemplo, para n = 6 erro de fase δ = 1 º.

Figura 42 - Circuito de deslocamento de fase de 90 º.

Programa:

Clear[d2,d3,f2,f1,n,d7,d9,d11,d13,d45]
f2=5000;
f1=200;
n=Input[Entrar n ];
d7=n;
theta=Pi 90/180;
d9=f2/f1;
k=Sqrt[f2^2-f1^2]/f2;
100
kl=Sqrt[1-k^2];
(* Aproximação de q *)

d19=0.5 (1-Sqrt[kl])/(1+Sqrt[kl]);
d22=d19+2 d19^5+15 d19^9 + 150 d19^13;

(* Cálculo de *)

d28=(0 Pi-0.5 theta)/d7;


d30=(1 Pi-0.5 theta)/d7;
d32=(2 Pi - 0.5 theta)/d7;
d34=(3 Pi - 0.5 theta)/d7;
d36=(4 Pi - 0.5 theta)/d7;
d38=(5 Pi - 0.5 theta)/d7;
d40=(6 Pi -0.5 theta)/d7;
d42=(7 Pi -0.5 theta)/d7;

(* Cálculo das raizes *)

d45=2 Pi Sqrt[f2 f1];

p0=d45 (Cos[d28]+d22^2 Cos[3 d28]+ d22^6 Cos[5 d28]+d22^8 Cos[7 d28]+d22^10 Cos[9
d28]+d22^12 Cos[11 d28])/(Sin[d28]-d22^2 Sin[3 d28]+d22^6 Sin[5 d28]-d22^8 Sin[7
d28]+d22^10 Sin[9 d28]-d22^12 Sin[11 d28]);

p1=d45 (Cos[d30]+d22^2 Cos[3 d30]+ d22^6 Cos[5 d30]+d22^8 Cos[7 d30]+d22^10 Cos[9
d30]+d22^12 Cos[11 d30])/(Sin[d30]-d22^2 Sin[3 d30]+d22^6 Sin[5 d30]-d22^8 Sin[7
d30]+d22^10 Sin[9 d30]-d22^12 Sin[11 d30]);

p2=d45 (Cos[d32]+d22^2 Cos[3 d32]+ d22^6 Cos[5 d32]+d22^8 Cos[7 d32]+d22^10 Cos[9
d32]+d22^12 Cos[11 d32])/(Sin[d32]-d22^2 Sin[3 d32]+d22^6 Sin[5 d32]-d22^8 Sin[7
d32]+d22^10 Sin[9 d32]-d22^12 Sin[11 d32]);

101
p3=d45 (Cos[d34]+d22^2 Cos[3 d34]+ d22^6 Cos[5 d34]+d22^8 Cos[7 d34]+d22^10 Cos[9
d34]+d22^12 Cos[11 d34])/(Sin[d34]-d22^2 Sin[3 d34]+d22^6 Sin[5 d34]-d22^8 Sin[7
d34]+d22^10 Sin[9 d34]-d22^12 Sin[11 d34]);

p4=d45 (Cos[d36]+d22^2 Cos[3 d36]+ d22^6 Cos[5 d36]+d22^8 Cos[7 d36]+d22^10 Cos[9
d36]+d22^12 Cos[11 d36])/(Sin[d36]-d22^2 Sin[3 d36]+d22^6 Sin[5 d36]-d22^8 Sin[7
d36]+d22^10 Sin[9 d36]-d22^12 Sin[11 d36]);

p5=d45 (Cos[d38]+d22^2 Cos[3 d38]+ d22^6 Cos[5 d38]+d22^8 Cos[7 d38]+d22^10 Cos[9
d38]+d22^12 Cos[11 d38])/(Sin[d38]-d22^2 Sin[3 d38]+d22^6 Sin[5 d38]-d22^8 Sin[7
d38]+d22^10 Sin[9 d38]-d22^12 Sin[11 d38]);

p6=d45 (Cos[d40]+d22^2 Cos[3 d40]+ d22^6 Cos[5 d40]+d22^8 Cos[7 d40]+d22^10 Cos[9
d40]+d22^12 Cos[11 d40])/(Sin[d40]-d22^2 Sin[3 d40]+d22^6 Sin[5 d40]-d22^8 Sin[7
d40]+d22^10 Sin[9 d40]-d22^12 Sin[11 d40]);

p7=d45 (Cos[d42]+d22^2 Cos[3 d42]+ d22^6 Cos[5 d42]+d22^8 Cos[7 d42]+d22^10 Cos[9
d42]+d22^12 Cos[11 d42])/(Sin[d42]-d22^2 Sin[3 d42]+d22^6 Sin[5 d42]-d22^8 Sin[7
d42]+d22^10 Sin[9 d42]-d22^12 Sin[11 d42]);

(*Rotina para imprimir as raízes e as constantes de tempo*)

If[n==4,{Print["Raizes para n = ",n],


Print[" Po = ",p0], Print[" P1 = ",p1],Print[" P2 =",p2],Print[" P3 = ",p3]},
If[n==6,{Print["Raizes para n = ",n],
Print[" Po = ",p0], Print[" P1 = ",p1],Print[" P2 = ",p2],Print[" P3 = ",p3],
Print[" P4 = ",p4],Print[" P5 = ",p5]},{Print["Raizes para n = 8"],
Print[" Po = ",p0],
Print[" P1 = ",p1],
Print[" P2 = ",p2],
Print[" P3 = ",p3],
Print[" P4 = ",p4],
Print[" P5 = ",p5],

102
Print[" P6 = ",p6],
Print[" P7 = ",p7]}]],

Raízes para n = 4
Po = -51713.4
P1 = 11496.1
P2 = 763.409
P3 = -3434.08

If[n==8,{Print[" n = 8"],Print[" f1 = ",f1," Hz"],Print[" f2 = ",f2," Hz"],tdf1=(-1+I 2 Pi f/p1)


(-1+I 2 Pi f/p2) (-1+I 2 Pi f/p3) (-1+I 2 Pi f/p4)/((1+I 2 Pi f/p1) (1+I 2 Pi f/p2) (1+I 2 Pi f/p3)
(1+I 2 Pi f/p4) )//N;
fase1=180 Arg[tdf1]/Pi//N;
tdf2=(-1-I 2 Pi f/p0) (-1-I 2 Pi f/p5) (-1-I 2 Pi f/p6) (-1-I 2 Pi f/p7)/((1-I 2 Pi f/p0) (1-I 2 Pi
f/p5) (1-I 2 Pi f/p6) (1-I 2 Pi f/p7) )//N;
fase2=180 Arg[tdf2]/Pi//N;
Plot[{fase1-fase2},{f,f1,f2},FrameTrue,PlotRangeAll,GridLinesAutomatic]},
If[n==6,{Print["n = 6"],Print[" f1 = ",f1," Hz"],Print[" f2 = ",f2," Hz"],
tdf1=(-1+I 2 Pi f/p1) (-1+I 2 Pi f/p2) (-1+I 2 Pi f/p3)/((1+I 2 Pi f/p1) (1+I 2 Pi f/p2) (1+I 2 Pi
f/p3) )//N;
fase1=180 Arg[tdf1]/Pi//N;
tdf2=(-1-I 2 Pi f/p0) (-1-I 2 Pi f/p4) (-1-I 2 Pi f/p5)/((1-I 2 Pi f/p0) (1-I 2 Pi f/p4) (1-I 2 Pi
f/p5) )//N;
fase2=180 Arg[tdf2]/Pi//N;
Plot[{fase1-fase2},{f,f1,f2},FrameTrue,PlotRangeAll,GridLinesAutomatic]},
{Print["n = 4"],Print[" f1 = ",f1," Hz"],Print[" f2 = ",f2," Hz"],tdf1=(-1+I 2 Pi f/p1) (-1+I 2
Pi f/p2)/((1+I 2 Pi f/p1) (1+I 2 Pi f/p2) )//N;
fase1=180 Arg[tdf1]/Pi//N;
tdf2=(-1-I 2 Pi f/p0) (-1-I 2 Pi f/p3)/((1-I 2 Pi f/p0) (1-I 2 Pi f/p3) )//N;
fase2=180 Arg[tdf2]/Pi//N;
Plot[{fase1-fase2},{f,f1,f2},FrameTrue,PlotRangeAll,GridLinesAutomatic]},
n = 12

103
f1 = 200 Hz
f2 = 5000 Hz

104
ANEXO D – FIRMWARE DO MICROCONTROLADOR

//--------------------------------------------------------------------------------------------------------------//
#include <18f2431.h>
#include <string.h>
#include <regs_18f.h>
#use delay(clock=40000000)
#fuses XT,NOWDT,NOLVP,NOMCLR,H4
//------------------------------------Definições de variáveis---------------------------------------------//
#define FSYNC RC4
#define SCLK RC5
#define SDATA RC6
#define RD RA2
#define WR RA1
#define TXE RA0
#define RXF RC3
#define LED_RX RC7
//--------------------------------------Prototipagem das funções-----------------------------------------//
void manipula_variaveis(void);
void inicializa_ad9833(void);
void tunning_word_out(void);
void send_spi(unsigned int16 DATA_SEND);
void habilita_int_externa(void);
//--------------------------------Declaração das variáveis globais--------------------------------------//
char buffer_rx[6];
float f_out_tayloe;
unsigned int8 inc_buffer_rx;
unsigned int32 delta_phase;
unsigned int16 delta_phase_MSB;
unsigned int16 delta_phase_LSB;
unsigned int8 delta_phase_3;
unsigned int8 delta_phase_2;

105
unsigned int8 delta_phase_1;
unsigned int8 delta_phase_0;
unsigned int1 libera_USB_tx=0;
//-------------------------------------------Função principal----------------------------------------------//
void main(void)
{
TRISA=0b11000000;
TRISB=0b00000000;
TRISC=0b00001100;
LED_RX=1;
f_out_tayloe=4*921;
manipula_variaveis();
tunning_word_out();
habilita_int_externa();
while(true);
}
//-----------------------Função para manipulação da variavel delta_phase---------------------------//
void manipula_variaveis(void)
{
delta_phase=f_out_tayloe*10737.41824;
shift_left(&delta_phase,4,0);
shift_left(&delta_phase,4,0);
delta_phase_3=make8(delta_phase,3);
delta_phase_2=make8(delta_phase,2);
delta_phase_1=make8(delta_phase,1);
delta_phase_0=make8(delta_phase,0);
delta_phase_MSB=make16(delta_phase_3,delta_phase_2);
delta_phase_LSB=make16(delta_phase_1,delta_phase_0);
shift_right(&delta_phase_LSB,2,1);
shift_right(&delta_phase_LSB,2,0);
bit_set(delta_phase_MSB,14);
}
//---------------------------------------Função de inicialização do AD9833---------------------------//

106
void inicializa_ad9833(void)
{
send_spi(0b0010000100101000); //Control register
send_spi(delta_phase_LSB); //word LSB em FREQ0
send_spi(delta_phase_MSB); //word MSB em FREQ0
send_spi(0b1000000000000000); //word LSB em FREQ1
send_spi(0b1000000000000000); //word MSB em FREQ1
send_spi(0b1100000000000000); //word MSB em PHASE0
send_spi(0b1110000000000000); //word MSB no em PHASE1
send_spi(0b0010000000101000); //Control register
}
//---------------------------Função de mudança de freqüência no AD9833---------------------------//
void tunning_word_out(void)
{
send_spi(0b0010000000101000);
send_spi(delta_phase_LSB);
send_spi(delta_phase_MSB);
}
//--------------------------Função para envio de dados via protocolo SPI----------------------------//
void send_spi(unsigned int16 DATA_SEND)
{
unsigned int1 bit_for_send;
unsigned int8 num_bit_SPI;
SCLK=1;
FSYNC=1;
FSYNC=0; //liga sincronismo...
for(num_bit_SPI=0;num_bit_SPI<16;num_bit_SPI++)
{
bit_for_send=shift_left(&DATA_SEND,2,0);
SDATA=bit_for_send;
SCLK=0;
SCLK=1;
}

107
FSYNC=1;
}
//--------------------------Função para habilitação da interrupção externa---------------------------//
void habilita_int_externa(void)
{
GIEGIEH=1;
INTEDG0=0;
INT0IE=1;
}
//--------------------------------------Função da interrupção externa-----------------------------------//
#INT_EXT
void recebe_USB(void)
{
float dig_1,dig_2,dig_3,dig_4,dig_5;
INT0IE=0;
LED_RX=0;
TRISB=0b11111111;
RD=0;
buffer_rx[inc_buffer_rx]=PORTB;
RD=1;
TRISB=0b00000000;
if((buffer_rx[inc_buffer_rx])=='*')
{
inc_buffer_rx=0;
dig_1=(buffer_rx[0]-0x30);
dig_2=(buffer_rx[1]-0x30);
dig_3=(buffer_rx[2]-0x30);
dig_4=(buffer_rx[3]-0x30);
dig_5=(buffer_rx[4]-0x30);
f_out_tayloe=4*((dig_1*1000)+(dig_2*100)+(dig_3*10)+(dig_4*1)+(dig_5*0.1));
if(f_out_tayloe>=6400)f_out_tayloe=6400;
if(f_out_tayloe<=2120)f_out_tayloe=2120;
manipula_variaveis();

108
tunning_word_out();
LED_RX=1;
}
else
{
inc_buffer_rx++;
}
INT0IE=1;
}
//--------------------------------------------------------------------------------------------------------------//

109
ANEXO E – SOFTWARE DO APLICATIVO DE CONTROLE DO RECEPTOR

//--------------------------------------------------------------------------------------------------------------//
#include <vcl.h>
#pragma hdrstop
#include "Unit1.h"
#include "registry.hpp"
#include "ftd2xx.h"
//--------------------------------------------------------------------------------------------------------------//
#pragma package(smart_init)
#pragma link "LPDrawLayers"
#pragma link "SLComponentCollection"
#pragma link "SLScope"
#pragma link "SLStreamTypes"
#pragma link "ALAmplifier"
#pragma link "ALAudioIn"
#pragma link "ALAudioOut"
#pragma link "ALAudioToReal"
#pragma link "ALBasicAudioOut"
#pragma link "ALCommonFilter"
#pragma link "ALCommonFIRFilter"
#pragma link "ALCommonSplitter"
#pragma link "ALLowPass"
#pragma link "ALRemoveDC"
#pragma link "ALCommonMeter"
#pragma link "ALVUMeter"
#pragma link "ALCommonLogger"
#pragma link "ALWaveLogger"
#pragma resource "*.dfm"
#pragma comment(lib,"FTD2XX.lib")
//--------------------------------------------------------------------------------------------------------------//
TForm1 *Form1;
FT_HANDLE ftHandle;
110
FT_STATUS ftStatus;
unsigned int numDev=0;
//--------------------------------------------------------------------------------------------------------------//
AnsiString Str_memory_read;
TIniFile* ini=new TIniFile("c:\\am_receiver.ini");
//--------------------------------------------------------------------------------------------------------------//
void ajusta_digitos_frequencia(unsigned long int frequencia);
void send_data_FT245(void);
void MostraData(void);
//--------------------------------------------------------------------------------------------------------------//
unsigned long int frequencia;
unsigned long int incremento_frequencia;
unsigned int dig_1,dig_2,dig_3,dig_4,dig_5;
unsigned long int estacao_1,estacao_2,estacao_3,estacao_4;
char d1,d2,d3,d4,d5;
char data_to_send[6];
//--------------------------------------------------------------------------------------------------------------//
__fastcall TForm1::TForm1(TComponent* Owner)
: TForm(Owner)
{
CloseUSBDevice->Enabled=false;
OpenUSBDevice->Enabled=true;
UpFrequency->Enabled=false;
DownFrequency->Enabled=false;
EstationAm1->Enabled=false;
EstationAm2->Enabled=false;
EstationAm3->Enabled=false;
EstationAm4->Enabled=false;
InputDevice->Enabled=false;
Record->Enabled=false;
Play->Enabled=false;
Stop->Enabled=false;
Str_memory_read=ini->ReadString("estacao_1","frequency","<erro>");

111
Edit2->Text=Str_memory_read;
Str_memory_read=ini->ReadString("estacao_2","frequency","<erro>");
Edit3->Text=Str_memory_read;
Str_memory_read=ini->ReadString("estacao_3","frequency","<erro>");
Edit4->Text=Str_memory_read;
Str_memory_read=ini->ReadString("estacao_4","frequency","<erro>");
Edit5->Text=Str_memory_read;
frequencia=atoi(Edit2->Text.c_str())*1000;
ajusta_digitos_frequencia(frequencia);
Label4->Caption=dig_1;
Label6->Caption=dig_2;
Label7->Caption=dig_3;
Label8->Caption=dig_4;
Label10->Caption=dig_5;
CheckBox5->Checked=true;
CheckBox13->Checked=true;
CheckBox14->Checked=true;
ALAudioIn1->Stop();
ALWaveLogger1->Enabled=true;
MostraData();
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::DownFrequencyClick(TObject *Sender)
{
frequencia=frequencia-incremento_frequencia;
if(frequencia<=530000)
{
frequencia=530000;
}
ajusta_digitos_frequencia(frequencia);
Label4->Caption=dig_1;
Label6->Caption=dig_2;
Label7->Caption=dig_3;

112
Label8->Caption=dig_4;
Label10->Caption=dig_5;
send_data_FT245();
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::UpFrequencyClick(TObject *Sender)
{
frequencia=frequencia+incremento_frequencia;
if(frequencia>=1600000)
{
frequencia=1600000;
}
ajusta_digitos_frequencia(frequencia);
Label4->Caption=dig_1;
Label6->Caption=dig_2;
Label7->Caption=dig_3;
Label8->Caption=dig_4;
Label10->Caption=dig_5;
send_data_FT245();
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::EstationAm1Click(TObject *Sender)
{
frequencia=atoi(Edit2->Text.c_str())*1000;
ajusta_digitos_frequencia(frequencia);
Label4->Caption=dig_1;
Label6->Caption=dig_2;
Label7->Caption=dig_3;
Label8->Caption=dig_4;
Label10->Caption=dig_5;
send_data_FT245();
}
//--------------------------------------------------------------------------------------------------------------//

113
void __fastcall TForm1::EstationAm2Click(TObject *Sender)
{
frequencia=atoi(Edit3->Text.c_str())*1000;
ajusta_digitos_frequencia(frequencia);
Label4->Caption=dig_1;
Label6->Caption=dig_2;
Label7->Caption=dig_3;
Label8->Caption=dig_4;
Label10->Caption=dig_5;
send_data_FT245();
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::EstationAm3Click(TObject *Sender)
{
frequencia=atoi(Edit4->Text.c_str())*1000;
ajusta_digitos_frequencia(frequencia);
Label4->Caption=dig_1;
Label6->Caption=dig_2;
Label7->Caption=dig_3;
Label8->Caption=dig_4;
Label10->Caption=dig_5;
send_data_FT245();
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::EstationAm4Click(TObject *Sender)
{
frequencia=atoi(Edit5->Text.c_str())*1000;
ajusta_digitos_frequencia(frequencia);
Label4->Caption=dig_1;
Label6->Caption=dig_2;
Label7->Caption=dig_3;
Label8->Caption=dig_4;
Label10->Caption=dig_5;

114
send_data_FT245();
}
//--------------------------------------------------------------------------------------------------------------//
void ajusta_digitos_frequencia(unsigned long int frequencia)
{
dig_1=(frequencia%10000000)/1000000;
dig_2=(frequencia%1000000)/100000;
dig_3=(frequencia%100000)/10000;
dig_4=(frequencia%10000)/1000;
dig_5=(frequencia%1000)/100;
d1=char(dig_1)+0x30;
d2=char(dig_2)+0x30;
d3=char(dig_3)+0x30;
d4=char(dig_4)+0x30;
d5=char(dig_5)+0x30;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::OpenUSBDeviceClick(TObject *Sender)
{
ftStatus=FT_Open(numDev,&ftHandle);
if(ftStatus==FT_OK)
{
ftStatus=FT_ResetDevice(ftHandle);
FT_Purge(ftHandle,FT_PURGE_RX | FT_PURGE_TX);
CloseUSBDevice->Enabled=true;
OpenUSBDevice->Enabled=false;
UpFrequency->Enabled=true;
DownFrequency->Enabled=true;
EstationAm1->Enabled=true;
EstationAm2->Enabled=true;
EstationAm3->Enabled=true;
EstationAm4->Enabled=true;
InputDevice->Enabled=true;

115
Record->Enabled=true;
Play->Enabled=true;
Stop->Enabled=true;
send_data_FT245();
}
else
{
ShowMessage("Error! Unplugged and plugged USB cable.");
CloseUSBDevice->Enabled=false;
OpenUSBDevice->Enabled=true;
UpFrequency->Enabled=false;
DownFrequency->Enabled=false;
}
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CloseUSBDeviceClick(TObject *Sender)
{
ftStatus=FT_Close(ftHandle);
if(ftStatus == FT_OK)
{
CloseUSBDevice->Enabled=false;
OpenUSBDevice->Enabled=true;
UpFrequency->Enabled=false;
DownFrequency->Enabled=false;
EstationAm1->Enabled=false;
EstationAm2->Enabled=false;
EstationAm3->Enabled=false;
EstationAm4->Enabled=false;
InputDevice->Enabled=false;
Record->Enabled=false;
Play->Enabled=false;
Stop->Enabled=false;
ALAudioIn1->Stop();

116
Play->Enabled=false;
Stop->Enabled=false;
}
else
{
Close();
}
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CloseProgramClick(TObject *Sender)
{
ftStatus=FT_Purge(ftHandle,FT_PURGE_RX|FT_PURGE_TX);
ini->WriteString("estacao_1","frequency",Edit2->Text);
ini->WriteString("estacao_2","frequency",Edit3->Text);
ini->WriteString("estacao_3","frequency",Edit4->Text);
ini->WriteString("estacao_4","frequency",Edit5->Text);
Close();
}
//--------------------------------------------------------------------------------------------------------------//
void send_data_FT245(void)
{
ULONG length_data;
ULONG write_bytes=0;
unsigned int i;

for(i=0;i<=5;i++)
{
switch (i)
{
case(0):data_to_send[0]=d1;break;
case(1):data_to_send[1]=d2;break;
case(2):data_to_send[2]=d3;break;
case(3):data_to_send[3]=d4;break;

117
case(4):data_to_send[4]=d5;break;
case(5):data_to_send[5]='*';break;
}
}
length_data=strlen(data_to_send);
ftStatus=FT_Write(ftHandle,data_to_send,length_data,&write_bytes);
if(ftStatus!=FT_OK)
{
ShowMessage("Error! Close the program.");
}
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox1Click(TObject *Sender)
{
if(CheckBox1->Checked==true)
{
CheckBox2->Checked=false;
CheckBox3->Checked=false;
CheckBox4->Checked=false;
CheckBox5->Checked=false;
}
incremento_frequencia=100;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox2Click(TObject *Sender)
{
if(CheckBox2->Checked==true)
{
CheckBox1->Checked=false;
CheckBox3->Checked=false;
CheckBox4->Checked=false;
CheckBox5->Checked=false;
}

118
incremento_frequencia=500;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox3Click(TObject *Sender)
{
if(CheckBox3->Checked==true)
{
CheckBox1->Checked=false;
CheckBox2->Checked=false;
CheckBox4->Checked=false;
CheckBox5->Checked=false;
}
incremento_frequencia=1000;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox4Click(TObject *Sender)
{
if(CheckBox4->Checked==true)
{
CheckBox1->Checked=false;
CheckBox2->Checked=false;
CheckBox3->Checked=false;
CheckBox5->Checked=false;
}
incremento_frequencia=5000;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox5Click(TObject *Sender)
{
if(CheckBox5->Checked==true)
{
CheckBox1->Checked=false;
CheckBox2->Checked=false;

119
CheckBox3->Checked=false;
CheckBox4->Checked=false;
}
incremento_frequencia=10000;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::InputDeviceClick(TObject *Sender)
{
ALAudioIn1->Device->ShowDeviceSelctDialog();
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::StopClick(TObject *Sender)
{
ALAudioIn1->Stop();
Play->Enabled=true;
Stop->Enabled=false;
Record->Enabled=true;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::PlayClick(TObject *Sender)
{
ALAudioIn1->Start();
Play->Enabled=false;
Stop->Enabled=true;
Record->Enabled=true;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::ALVUMeter1ValueChange(TObject *Sender,
int AChannel, Real AValue, Real AMin, Real AMax)
{
VUmeter->Position = AValue;
VUmeter->Max = AMax;
}

120
//--------------------------------------------------------------------------------------------------------------//
void MostraData(void)
{
int intDiaSemana;
TDateTime dtHoje;
String strDiaSemana;

dtHoje=Date();
intDiaSemana=DayOfWeek(dtHoje);
switch(intDiaSemana)
{
case 1: strDiaSemana = "Sunday - ";break;
case 2: strDiaSemana = "Monday - ";break;
case 3: strDiaSemana = "Tuesday - ";break;
case 4: strDiaSemana = "Wednesday - ";break;
case 5: strDiaSemana = "Thursday - ";break;
case 6: strDiaSemana = "Friday - ";break;
case 7: strDiaSemana = "Saturday - ";break;
}
Form1->lblData->Caption=strDiaSemana+DateToStr(dtHoje);
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::tmrRelogioTimer(TObject *Sender)
{
lblHora->Caption = TimeToStr(Time());
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::RecordClick(TObject *Sender)
{
ALWaveLogger1->Enabled=true;
Record->Enabled=false;
}
//--------------------------------------------------------------------------------------------------------------//

121
void __fastcall TForm1::CheckBox6Click(TObject *Sender)
{
if(CheckBox6->Checked==true)
{
CheckBox7->Checked=false;
CheckBox8->Checked=false;
CheckBox9->Checked=false;
CheckBox10->Checked=false;
CheckBox11->Checked=false;
CheckBox12->Checked=false;
CheckBox13->Checked=false;
}
ALLowPass1->Frequency=3000;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox7Click(TObject *Sender)
{
if(CheckBox7->Checked==true)
{
CheckBox6->Checked=false;
CheckBox8->Checked=false;
CheckBox9->Checked=false;
CheckBox10->Checked=false;
CheckBox11->Checked=false;
CheckBox12->Checked=false;
CheckBox13->Checked=false;
}
ALLowPass1->Frequency=3500;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox8Click(TObject *Sender)
{
if(CheckBox8->Checked==true)

122
{
CheckBox6->Checked=false;
CheckBox7->Checked=false;
CheckBox9->Checked=false;
CheckBox10->Checked=false;
CheckBox11->Checked=false;
CheckBox12->Checked=false;
CheckBox13->Checked=false;
}
ALLowPass1->Frequency=4000;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox9Click(TObject *Sender)
{
if(CheckBox9->Checked==true)
{
CheckBox6->Checked=false;
CheckBox7->Checked=false;
CheckBox8->Checked=false;
CheckBox10->Checked=false;
CheckBox11->Checked=false;
CheckBox12->Checked=false;
CheckBox13->Checked=false;
}
ALLowPass1->Frequency=4500;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox10Click(TObject *Sender)
{
if(CheckBox10->Checked==true)
{
CheckBox6->Checked=false;
CheckBox7->Checked=false;

123
CheckBox8->Checked=false;
CheckBox9->Checked=false;
CheckBox11->Checked=false;
CheckBox12->Checked=false;
CheckBox13->Checked=false;
}
ALLowPass1->Frequency=5000;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox11Click(TObject *Sender)
{
if(CheckBox11->Checked==true)
{
CheckBox6->Checked=false;
CheckBox7->Checked=false;
CheckBox8->Checked=false;
CheckBox9->Checked=false;
CheckBox10->Checked=false;
CheckBox12->Checked=false;
CheckBox13->Checked=false;
}
ALLowPass1->Frequency=5500;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox12Click(TObject *Sender)
{
if(CheckBox12->Checked==true)
{
CheckBox6->Checked=false;
CheckBox7->Checked=false;
CheckBox8->Checked=false;
CheckBox9->Checked=false;
CheckBox10->Checked=false;

124
CheckBox11->Checked=false;
CheckBox13->Checked=false;
}
ALLowPass1->Frequency=6000;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox13Click(TObject *Sender)
{
if(CheckBox13->Checked==true)
{
CheckBox6->Checked=false;
CheckBox7->Checked=false;
CheckBox8->Checked=false;
CheckBox9->Checked=false;
CheckBox10->Checked=false;
CheckBox11->Checked=false;
CheckBox12->Checked=false;
}
ALLowPass1->Frequency=6500;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox14Click(TObject *Sender)
{
if(CheckBox14->Checked==true)
{
CheckBox15->Checked=false;
CheckBox16->Checked=false;
CheckBox17->Checked=false;
CheckBox18->Checked=false;
CheckBox19->Checked=false;
}
ALAmplifier1->Coefficient=1.0592537251772888788092803732781;
}

125
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox15Click(TObject *Sender)
{
if(CheckBox15->Checked==true)
{
CheckBox14->Checked=false;
CheckBox16->Checked=false;
CheckBox17->Checked=false;
CheckBox18->Checked=false;
CheckBox19->Checked=false;
}
ALAmplifier1->Coefficient=1.1220184543019634355910389464779;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox16Click(TObject *Sender)
{
if(CheckBox16->Checked==true)
{
CheckBox14->Checked=false;
CheckBox15->Checked=false;
CheckBox17->Checked=false;
CheckBox18->Checked=false;
CheckBox19->Checked=false;
}
ALAmplifier1->Coefficient=1.4125375446227543021556078639302;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox17Click(TObject *Sender)
{
if(CheckBox17->Checked==true)
{
CheckBox14->Checked=false;
CheckBox15->Checked=false;

126
CheckBox16->Checked=false;
CheckBox18->Checked=false;
CheckBox19->Checked=false;
}
ALAmplifier1->Coefficient=1.7782794100389228012254211951927;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox18Click(TObject *Sender)
{
if(CheckBox18->Checked==true)
{
CheckBox14->Checked=false;
CheckBox15->Checked=false;
CheckBox16->Checked=false;
CheckBox17->Checked=false;
CheckBox19->Checked=false;
}
ALAmplifier1->Coefficient=2.2387211385683396119549508524657;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox19Click(TObject *Sender)
{
if(CheckBox19->Checked==true)
{
CheckBox14->Checked=false;
CheckBox15->Checked=false;
CheckBox16->Checked=false;
CheckBox17->Checked=false;
CheckBox18->Checked=false;
}
ALAmplifier1->Coefficient=2.8183829312644538191019236991551;
}
//--------------------------------------------------------------------------------------------------------------//

127
ANEXO F – LAYOUT DA PLACA DE CIRCUITO IMPRESSO

Figura 43 - Layout da placa de circuito impresso.

128
ANEXO G – CIRCUITO FINAL DO RECEPTOR

129
130
131
ANEXO H – FOTOS DO PROTÓTIPO

132

You might also like