You are on page 1of 83

Machine

Learning Approach to Sensor


Alloca3on and Placement in System-
on-Chips (SoCs)

Santanu Sarma

Centre for Embedded and Cyber-Physical Systems (CECS)


University of California, Irvine
Spring 2013

Impact of Temperature
Elevated temperatures directly impact all key circuit metrics
including: life3me and reliability, speed, power, and costs.
Hot spots reduce the mean 3me to failure as most failure
mechanisms have strong temperature dependencies
[Pedram2006].
Dierent thermal expansion coefcients of chip materials
cause mechanical stresses that can eventually crack the chip/
package interface [Brooks2007]
The exponen3al dependency of leakage power on
temperature further increases total power and could lead to
thermal runaway [Lin2008].
6/1/12

Santanu Sarma, UCI

Impact of Temperature
The failure rate due to thermal cycling increases with the
increasing magnitude and frequency of the temperature
cycles [JEDEC2006].
A 10 oC rise in temperature can reduce the chip life-3me by
half [h\p://www.nanowerk.com].
Increasing temperature increases local resistances, and thus
circuit delays and IR drop [Santarini2005].
Elevated temperatures also slow down devices and
interconnects leading to 3ming failures [Cheng1998],
[Pileggi2006].

6/1/12

Santanu Sarma, UCI

Impact of Temperature
Inaccuracies in thermal tracking decreases the
processors performance and wastes power. In
par3cular, it was shown that a 1oC accuracy translates
to 2W power savings, and that due to lack of
proximity, sensor measurements and hot spot
temperatures could dier by up to 10oC [Rotem2006]
In mobile computers, 1.5oC accuracy in temperature
measurement is equivalent to 1 Wa\ of CPU power
[Rotem2006]
Inaccuracy 1oC in thermal es3mates can trigger DTM
and unwanted performance loss of upto 14.4 % [Zhang
2011].
6/1/12

Santanu Sarma, UCI

Thermal Prole Characteris3cs


Hot spot loca3ons and temperatures are applica3on
dependent [Skadron2005]
Hot spots will not always remain in the same loca3ons on
the chip during execu3on of a single program
[Hamann2007, IBM]
Various applica3ons running on the same chip will show
hot spots in dierent regions [Hamann2007, IBM]
Within-die temperature varia3on can be up to 50 C
[Borkar2003]. Large number of delay viola3ons would
occur if the peak temperature exceeds 85C
[Skadron2003].
Maximum temperature varies across layers [Im2000]
6/1/12

Santanu Sarma, UCI

Thermal Distribu3on During Boo3ng


[Hamann2007, IBM]

6/1/12

Santanu Sarma, UCI

Thermal Gradient as high as 50oC

Temperatures for gcc benchmark. [Han2007]


6/1/12

Santanu Sarma, UCI

Within die temperature varia0on

6/1/12

Santanu Sarma, UCI

Within die maximum temperature varia0on of up to 50 oC [Borkar2003]

Sensor Placement Far Away From Ho\est


Block

6/1/12

Santanu Sarma, UCI


Temperature for
nbench benchmark [Han2006]

Maximum Temperature Distribu3on Across


Layers

Maximum temperature distribu3on along ver3cal distance from the substrate to the
6/1/12
Santanu Sarma, UCI
10
top
metal layer [Im2000]

Maximum Temperature Distribu3on Across


Benchmarks
[Srinivasan 2004]

6/1/12

Santanu Sarma, UCI

11

Thermal Prole Characteris3cs


Proper0es

Magnitude

Remarks

Independent Dimensions

Spa3al & temporal


In all three dimensions and


3me, Technology &
Workload dependent

Maximum Spa3al
Varia3ons

Up to 40-60 oC across
adjacent blocks

In the order of block size,

Maximum Spa3al
Gradients

Up to 40-60oC per nm^2

Maximum Temporal
Varia3ons

Up to 40-100oC In Seconds [0.1oC/ 30 us = 3333 oC/S]


in the same block.
[Skadron2003]

Maximum Temporal
Gradients

6/1/12

Santanu Sarma, UCI

12

Sensor Placement Requirements


Sensor placement congura3on must suce
for all hot spots that may arise during the
execu3on of any program
It is unlikely that a solu3on op3mized for a single
applica3on will be sucient for other workloads

Need to reduce/minimize the overheads of


using large number of sensors
Placement need to ensure overall reduc3on
in error in the thermal prole reconstruc3on
6/1/12

Santanu Sarma, UCI

13

Problem Classica3on
Placement Techniques

Reconstruc0on Techniques

Based on Approach

Direct Methods
Inverse Methods
Based on Sensing Approach
Based on Sampling Mechanism
Based on Transformed domain
Based on Informa3on Theory
Adap3ve Methods
Direct/Indirect [Sharif 2010]
Phase based [Reda 2012]
Predic3ve Model based [Coskun
2009]

Sta3c placement
Dynamic placement
[Buedo2004]

Based on Geometry
Uniform
Non-uniform

Based on Transformed
Domain
6/1/12

Santanu Sarma, UCI

14

Problem Classica3on
THERMAL CHARACTERIZATION

SENSOR PLACEMENT

RECONSTRUCTION
HYBRID
(IR Imaging)

APPROACH

6/1/12

GEOMETRY

XFORMS

Santanu Sarma, UCI

DIRECT

INVERSE

ADAPTIVE

15

Thermal Characteriza0on
Design-Time Technique

allocate sensors near poten3al hot spot loca3ons


Sensor placement algorithms fall into two main categories:
Uniform Sensor Placement
Nonuniform Sensor Placement
Hybrid

Run-3me Technique

full thermal map characteriza3on & hot spot detec3on


Categories:
Direct Method
Inverse/Indirect Method
Hybrid

6/1/12

Santanu Sarma, UCI

16

Thermal Sensor Placement Techniques


Approach:
Sta3c Placement Technique
Dynamic Selec3on & Scheduling [Forte 2013]

Dynamic Placement Technique [Buedo2004]

Geometric Sensor Placement


Uniform
Non-Uniform
Transformed Domain Placement
Informa3on Theore3c Sensor Placement
6/1/12

Santanu Sarma, UCI

17

Uniform Sensor Placement


Intended for use with chips that have an
unknown typical thermal pa\ern
Sensors are placed in a uniform sta3c grid
throughout the en3re chip
Only a nely-grained grid of sensors is capable
of achieving near-perfect accuracy
Signicant cost restric3ons associated with
sensor overheads
6/1/12

Santanu Sarma, UCI

18

Uniform Sensor Placement

Actual
6/1/12

Sensed
[Skadron
2005,
Sankaranarayanan2009
]
Santanu
Sarma, UCI

19

Uniform Sensor Placement

6/1/12

Santanu Sarma, UCI


[Sankaranarayanan2009
]

20

Uniform Sensor Placement


Advantages
Does not rely on thermal
proling data
No knowledge of hot spot
loca3ons and temperatures
needs to be acquired prior
to implemen3ng a
technique of this type

6/1/12

Disadvantages
limits the accuracy of the
uniform grid model
distances between the
sensor loca3ons and the hot
spots cannot be minimized
Not always be able to
detect hot spots as
accurately as the same
number of sensors located
near common hot spots

Santanu Sarma, UCI

21

Interpola0on-Based Sensing [Memic


2008]
Uniform Sensor Placement
A straight-forward linear
interpola3on approach
accounts for ne-grain grid
restric3on and rene the
temperature measurements
Interpola3on scheme with a
4 x4 grid of sensors improve
upon a sta3c uniform grid
of the same size with no
interpola3on by an average
of 1.59C
6/1/12

Santanu Sarma, UCI

[Memic 2008]

22

Interpola0on-Based Sensing

6/1/12

Santanu Sarma, UCI


[Sankaranarayanan2009
]

23

Non-uniform Sensor Placement


Intended for use where thermal maps from typical chip
execu3on across several applica3ons are available
Take advantage of the known hot spots to determine
the most advantageous loca3ons
Methods:
Hot Spots based Placement
Analy3cal Model based Non-Uniform Placement
[Skadron2005a]
Quality-Threshold Clustering [Yun 2008]
K-Means Clustering [Mukherjee 2006]
Power-Driven Correla3on Clustering Based[Wang 2013]
6/1/12

Santanu Sarma, UCI

24

Hot Spots Based Placement


HotSpot based Placement: place a sensor on
each hot spot found through thermal proling
across several applica3ons

6/1/12

Santanu Sarma, UCI

25

Hot Spots Based Placement


Advantages
Easy to detect hotspots for
a given thermal maps
Can detect thermal
viola3ons with a limited
number of sensors (less
than uniform)
Temperatures found via
thermal proling of several
applica3ons can provide
good es3mates
6/1/12

Disadvantages
Hot spot loca3ons and
temperatures are
applica3on dependent
Solu3on op3mized for a
single applica3on will not
be sucient for other
workloads
Can have too many hot
spots points and hence
many sensors

Santanu Sarma, UCI

26

Analytical Model based Non-Uniform


Placement [Skadron2005a]
Non-uniform sensor placement based on hot
spot loca3ons and temperatures found via
thermal proling
Describe the maximum radius R between a hot
spot and a poten3al thermal sensor loca3on,
while capping the error to a degree T

T denotes the dierence between the maximum and


minimum temperature value in the chip
6/1/12

Santanu Sarma, UCI

27

Analy0cal Model based Non-Uniform


Placement [Skadron2005a]

6/1/12

Santanu Sarma, UCI

28

Quality-Threshold Clustering [Yun 2008]


Hot spot groupings and corresponding sensor
loca3ons are determined based on the values of
Tmax
Incorporates analy3cal model of sensor
placement radius with the quality threshold (QT)
clustering algorithm commonly used in gene
clustering
Itera3ve technique that assigns hot spots to
clusters
based on their physical loca3ons on the chip rela3ve
to the other hot spots.

6/1/12

Santanu Sarma, UCI

29

6/1/12

Santanu Sarma, UCI

30

Quality-Threshold Clustering [Yun 2008]


Sensor loca3on for each cluster is rened axer
the addi3on of a candidate hot spot to be the
centroid of the included hot spots

obtains the best possible sensor loca3on for the given


set of hot spot data points

QT Clustering resulted in placing 23 sensors in


Alpha 21364 with an average error of 0.2899C.
To place fewer sensors using QT clustering:

hot spot to sensor distance value must be increased,


may decrease the accuracy of the en3re models
results.

6/1/12

Santanu Sarma, UCI

31

Quality-Threshold Clustering [Yun 2008]


Advantages
algorithm proves to be
sucient for monitoring
thermal events
obtains the best possible
sensor loca3on for the
given set of hot spot data
points

6/1/12

Disadvantages
algorithm does not end
execu3on un3l every hot
spot is placed in a cluster
Creates new clusters where
necessary to include hot
spots that are located far
away from the others
number of sensors required
by the QT clustering may be
large for prac3cal design

Santanu Sarma, UCI

32

K-Means Clustering Based Sensor


Placement [Mukherjee 2006]
Hot spots are placed into k dierent clusters, with a temperature sensor
placed at the centroid of each cluster
cluster assignments are chosen such that the mean squared distance from
each hot spot to the nearest cluster center is minimized
Algorithm:
First, the k cluster centers are chosen randomly from the set of known hot
spot points
Each hot spot is then assigned to a cluster
Each cluster center is updated at the end of each itera3on
Euclidean distances between the hot spots and the cluster centers are then
recomputed
If a new minimum distance between a hot spot and a dierent cluster center
is found, the hot spot is reassigned to the corresponding cluster.
process is repeated un3l no hot spot are reassigned to a dierent cluster
6/1/12

Santanu Sarma, UCI

33

k-Means Clustering Sensor Placement


[Mukherjee 2006]

Thermal-Aware K-Means: Place the temperature sensors to hot spots that typically have
higher temperatures
6/1/12

Santanu Sarma, UCI

34

Thermal-Aware k-Means Clustering


[Mukherjee 2006]

Clustering results on the same hot spot set


sensors have been placed closer to the hot spots of higher temperature
and further from the hot spots of lower temperature
6/1/12

Santanu Sarma, UCI

35

Thermal-Aware k-Means Clustering


[Mukherjee 2006]
Advantages
Disadvantages
Not appropriate for mul3-core
Thermal-gradient aware k-
processors with strong inter-
means clustering is eec3ve
core thermal interac3on
for single-core processors
Not always op3mal in complex
Works well under many
hot spot distribu3on scenarios
and may produce solu3ons
condi3ons
worse than the basic k-means
Be\er than [Long 2008] for
approach
given number of sensors
hot spots are oxen sorted into
inappropriate clusters due to
their common temperature
regardless of posi3on

6/1/12

Santanu Sarma, UCI

36

Non-uniform Subsampling Method Based


Placement [Sabuncu2004]
In many-core architectures, there is a high likelihood of
measuring a very large number of global hot spots
No of hotspots can be too large that clustering methods are
not able to place a sucient number of sensors near the
ho\est points
To reduce the number of points to be clustered while
maintaining clear representa3on of thermal data, non-
uniform subsampling algorithms can be used
Obtains a subset of key thermal analysis loca3ons on a chip
Types Non-uniform Subsampling :
Determinis3c Subsampling
Stochas3c Subsampling

6/1/12

Santanu Sarma, UCI

37

Determinis3c Hotspot Subsampling


[Sabuncu2004]

Samples are selected more frequently in regions of high gradient


6/1/12

Santanu Sarma, UCI

38

Stochas3c Hotspot Subsampling


[Sabuncu2004]

More Samples in the hoYest region than in the coolest region


6/1/12

Santanu Sarma, UCI

39

Correla0on Clustering based Sensor


Placement [Wang 2013]

Uses direct method (like hotspot) to reconstruct the hotspot using


approximate power es3mates of the blocks.
Correct the approximates power es3mates of the block by using the o-
chip thermal measurements
Exploits the correla3on between power es3ma3on errors among
func3onal blocks to perform sensor placement
Applies the correla3on clustering algorithm [Bansal2002] to determine
both the loca3ons of sensors and the number of sensors automa3cally
6/1/12

Santanu Sarma, UCI

40

Correla0on Clustering based Sensor


Placement [Wang 2013]
Reports be\er results than uniform and k-
mean clustering methods

6/1/12

Santanu Sarma, UCI

41

Thermal Sensor Placement


References

Uniform Non
Interpolated Accuracy
Uniform sensing

[Memic 2008]

Yes

No

Yes

1.59C
Nearest neighbor
With 16 sensors interpola3on

[Sankaranarayan Yes
an2009]

No

Yes

Be\er than
[Memic 2008]

Bilinear / Cubic
Spline

[Long2008]

Yes

No

Yes

3.1C with 16
sensors

Non-linear Spline

[Skadron2005a] No

Yes

Yes

[Yun 2008]

No

Yes

No

0.2899C with
23 sensors

QT Clustering +
Analy3cal Model

[Mukherjee06]

No

Yes

No

4.58C with 16
sensors

Basic K-means
clustering

[Mukherjee06]

No

Yes

No

2.1C with 16

Thermal-aware K-
42
means clustering

6/1/12

Remarks

Analy3cal Model
Based

Santanu Sarma, UCI sensors

Thermal Sensor Placement


References

Uniform Non
Interpolated Accuracy
Uniform sensing

Remarks

[Long2008]

No

Yes

Yes

~2.0C with 16
sensors

Local & Global


Hotspots

[Sabuncu2004]

No

Yes

Depends

Sub-sampling

[Wang 2013]

No

Yes

Yes

0.26oC with 14
sensors

Correla3on
Clustering

6/1/12

Santanu Sarma, UCI

43

Thermal Reconstruc3on Methods


Direct Methods
Hotspot [Skadron et al.]

Temptor [Koren et al.]


TILTS [Koren et al.]
3DICE [A3naza et al.]
FlowTherm [Mentor
Graphics]
ANSYS [Commercial FEM]

INVERSE Methods

INVERSE Methods

Based on Sampling Mechanism


Uniform Determinis3c
Subsampled/ Stochas3c
Random Subsampled
Based Transformed domain
FFT/DFT/ DCT/ KLT/ DWT
Based on Informa3on Theory
Eigenmaps based
Entropy Based
Bayesian Sta3s3cs based

ADAPTIVE Methods

Based on Sensing Approach

Physical / Computa3onal

( Interpolated / Virtual)

Hard/ Sox [Reda 2011]


Dynamic Selec3on
[Jong2008]
6/1/12
Santanu Sarma, UCI

Direct/Indirect [Sharif 2010]


Phase based [Reda 2012]
Predic3ve Model based
[Coskun 2009]
44

Thermal Reconstruc3on Problem


The thermal map of a processor can be
es3mated using two dual strategies:
Solu3on of the direct problem, given the heat
sources and the physical model of the
temperature diusion (e.g. a nonlinear diusion
equa3on),
Solu3on of the inverse problem, given the value
of the temperature in some loca3ons and some a-
priori informa3on about the thermal map.
6/1/12

Santanu Sarma, UCI

45

Direct Methods : R-C Network Based


Thermal Prole Reconstruc0on
Direct Problem Formula3on
Tools:
Hotspot [Skadron et al.]
Temptor [Koren et al.]
TILTS [Koren et al.]
3DICE [A3naza et al.]
FlowTherm [Mentor Graphics]
ANSYS [Commercial Tool]
6/1/12

Santanu Sarma, UCI

46

Direct Method Thermal Dissipa3on Model


Heat Diusion through an IC given by Poissons PDE Equa0on:

6/1/12

Santanu Sarma, UCI

47

Direct Methods : R-C Network Based


Thermal Prole Reconstruc0on

6/1/12

Santanu Sarma, UCI

48

6/1/12

Santanu Sarma, UCI

49

6/1/12

Santanu Sarma, UCI

50

RC-Network Based Direct Method

Ti Temperature of node I
Tj- Temperature at node j
Pi- Power dissipa0on at node I
Ci- thermal Capacitance at node i
Gij- lateral conductance between node I and j =1/Rij

6/1/12

Santanu Sarma, UCI

51

Direct Methods
Advantages
Highly Accurate
Finite Element Model (FEM)
based automated R-C
network can be generated
Supported by many tools

6/1/12

Disadvantages
Computa3onally Intensive
Not feasible as run-3me
approach
Requires power at every
block/grid point

Santanu Sarma, UCI

52

Inverse Methods
Inverse methods: given the value of the temperature
in some loca3ons and some a-priori informa3on
about the thermal map, reconstruct the complete
map.

6/1/12

Santanu Sarma, UCI

53

Transformed Domain Methods

FFT/DFT Based [Chochran 2009]


DCT Based [Nowroz2010]
KLT/PCA Based [Juri 2012]
DWT Based [Cho2009]

6/1/12

Santanu Sarma, UCI

54

FFT Based Reconstruc3on [Cochran2010]


Considers temperature as simply a space-
varying signal and performs Spectral Fourier
analysis technique
Space domain Convolu3on (interpola3on) is
replaced by mul3plica3on in Frequency
Domain
Proposes methods to handle uniform and
non-uniform thermal sensor placements
6/1/12

Santanu Sarma, UCI

55

FFT Based Reconstruc3on [Cochran2010]

6/1/12

Santanu Sarma, UCI

56

FFT Based Reconstruc3on [Cochran2010]

6/1/12

Santanu Sarma, UCI

57

FFT Based Reconstruc3on [Cochran2010]

6/1/12

Santanu Sarma, UCI

58

FFT Based Reconstruc3on [Cochran2010]

full thermal characteriza3on

6/1/12

Hot spot es3ma3on

Santanu Sarma, UCI

59

K-LSE : DCT Based Placement [Norwiz


2010]
On-chip thermal gradients lead to sparse
signals in the frequency domain
Use DCT based transforma3on to establish the
sparsity in frequency domain
Exploit this observa3on to
devise thermal sensor alloca3on techniques,
devise signal reconstruc3on techniques that fully
characterize the thermal status
6/1/12

Santanu Sarma, UCI

60

K-LSE : DCT Based Placement [Norwiz


2010]

6/1/12

Santanu Sarma, UCI

61

K-LSE : DCT Based Placement [Norwiz


2010]

Placed at the center


6/1/12

placed at the centroids


Santanu Sarma, UCI

62

K-LSE : DCT Based Placement [Norwiz


2010]

6/1/12

Santanu Sarma, UCI

63

Eigenmaps (KLT/PCA Based) [Juri 2012]


Uses Principal Component Analysis (PCA) to determine
the transform
Exploits the structural correla3on and temporal
varia3ons in the thermal map to achieve very high
reconstruc3on accuracy
Performs sensor placement and alloca3on to the most
important loca3ons corresponding to the principal
components
Considers non-ideal sensors with noise and error.
Proposes a LSE formula3on for reconstruc3on
Greedy Algorithm for Placement
6/1/12

Santanu Sarma, UCI

64

Eigenmaps (KLT/PCA Based) [Juri 2012]

6/1/12

Santanu Sarma, UCI

65

Eigenmaps (KLT/PCA Based) [Juri 2012]

The reconstruc3on error


as a func3on of the
number of sensors used.
6/1/12

The reconstruc3on error in presence


of measurement noise as a func3on
of the SNR using 16 sensors
Santanu Sarma, UCI

66

Informa3on Theore3c Approaches


Compressive Sensing Based [Candes 2006,
Donoho2006, Tropp2007, Zang2011a]
Bayesian Sta3s3cs Based [Zang2010,
Zang2011a]
Entropy Based [Zhou 2012]

6/1/12

Santanu Sarma, UCI

67

Compressive Sensing Based Reconstruc3on


[Donoho2006]
Key Idea: Thermal Prole is Sparse in Either
temporal or spa3al domain
Random sampling in 3me or spa3al domain
i.e. the sensor placement can be random
From few random samples it is possible to
reconstruct the complete prole if the
thermal signal is Sparse

6/1/12

Santanu Sarma, UCI

68

Bayesian Sta3s3cs Based Reconstruc3on


[Zang2010]
Uses the idea of Bayesian inference and
informa3on theory from sta3s3cs
to determine an op3mal set of sampling loca3ons
where test structures/sensor should be deployed and
measured
to monitor spa3al varia3ons with maximum accuracy

Unlike Random Sampling in Compressive Sensing,


it used Bayesian inference to select the best
loca3ons
Can be used characterize and monitor spa3al
temperature
6/1/12

Santanu Sarma, UCI

69

Bayesian Sta3s3cs Based Reconstruc3on


[Zang2010]

6/1/12

Santanu Sarma, UCI

70

Entropy Based Op0mal Temperature Sensor


Alloca0on [Zhou 2012]
Key Idea: Entropy of the Thermal Map
Can precisely iden0fy the hotspots and
And place them near to them.
Entropy =measure of randomness or
varia3ons in the signal
Temperature sensor loca3ons are
selected by dierent
alloca3on algorithms: (a) the k-mean
clustering method, (b) the par33on
method, (c) the Bayesian method,
and (d) the entropy method

6/1/12

Santanu Sarma, UCI

[Zhou2012]

71

Adap0ve Online Methods


Ability to update parameters /model at run3me /on-
line
Model-Based Control Centric Approach and System
Iden3ca3on
Measurement Driven Es3ma3on
State Es3mators & Observers
Kalman Filters
Adap3ve Filters

Regression based Predic3on

AR/ARMA /Other Parametric Models


PCA

6/1/12

Santanu Sarma, UCI

72

Regressive Model based Predic3on &


Reconstruc3on [Coskun2008]

Auto-regressive moving average (ARMA) based forcus3ng


6/1/12

Online adapta0on when exis3ng model is not {ng the current workload
Santanu Sarma, UCI

73

Full-Chip Run-3me Thermal Es3ma3on and


Predic3on [Wang2011]

correla0on based method for error compensa0on


6/1/12

Santanu Sarma, UCI

74

Phase Predic3on Based Reconstruc3on


[Reda 2013]

6/1/12

Santanu Sarma, UCI

75

Other Adap3ve Methods [Noise


Compensa3ng]
Based on Kalman & Adap3ve Filters:
ZHANG, Y., AND SRIVASTAVA, A. Adap3ve and
autonomous thermal tracking for high
performance compu3ng systems, In DAC, 2010.
ZHANG, Y., AND SRIVASTAVA, A. Accurate
temperature es3ma3on using noisy thermal
sensors, In DAC, 2009.

Sensor Error Compensa3on


Compensa3ng & Calibra3ng Noisy and Erroneous
On-Chip sensors [Sharif 2010]
6/1/12

Santanu Sarma, UCI

76

Hybrid Method: Infrared (IR) Imaging

6/1/12

Santanu Sarma, UCI

77

References

[Skadron2003] K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan,


Temperature aware microarchitecture, in Proc. Int. Symp. Comput. Architect., Jun. 2003, pp. 2
13.
[Skadron2005] Skadron, K., Lee, K.: Using Performance Counters for Run3me Temperature Sensing
in High-Performance Processors. In: 19th IEEE Interna3onal Parallel and Distributed Processing
Symposium, pp. 232a232a (2005),
h\p://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=1420152
[Skadron2005a] Skadron, K., Huang, W.: Analy3cal model for sensor placement on
microprocessors. In: 2005 Interna3onal Conference on Computer Design, pp. 2427 (2005),
h\p://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=1524125
[Hamann2007] H. F. Hamann, A. Weger, J. Lacey, Z. Hu, P. Bose, E. Cohen, and J. Wakil. Hotspot-
limited Microprocessors: Direct Temperature and Power distribu3on Measurements. IEEE Journal
of Solid-State Circuits, 42:5665, January 2007.
[Im200] S. Im and K. Banerjee, B Full chip thermal analysis of planar (2-D) and ver3cally integrated
(3-D) high performance Ics, in Tech. Dig. IEEE Int. Electron Devices Mee3ng, 2000, pp. 727730.
[Han2006] Y. Han, I. Koren, and C. Krishna. Temptor: A lightweight run3me temperature
monitoring tool using performance counters. Third Workshop on Temperature-Aware Computer
Systems in conjunc3on with ISCA-33, June 2006.
[Han2007] Y. Han, I. Koren, and C. M. Krishna. TILTS: A fast architectural-level transient thermal
simula3on method. Journal of Low Power Electronics, 3(1), 2007.
[Memik2008] Memik, S.O., Mukherjee, R., Ni, M., Long, J.: Op3mizing Thermal Sensor Alloca3on for
Microprocessors. IEEE Transac3ons on Computer-Aided Design of Integrated Circuits and Systems
27(3), 516527 (2008), h\p://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=4454017

6/1/12

Santanu Sarma, UCI

78

References

[Borkar2003] S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi and V. De, Parameter varia3ons
and impact on circuits and microarchitecture, IEEE DAC, pp. 338-342, 2003.
[Sankaranarayanan2009] K. Sankaranarayanan, W. Huang, M. R. Stan, H. Haj-Hariri, R. J. Ribando, and K.
Skadron. Granularity of microprocessor thermal management: a technical report. Technical Report
CS-2009-03, University of Virginia Department of Computer Science, April 2009.
[Long2008] J. Long, S. O. Memik, and G. Memik, Thermal monitoring mechanisms for chip
mul3processors, ACM Trans. Architect. Code Op3m., vol. 5, no. 2, pp. 9.19.23, Aug. 2008.
[Yun2008] Yun, X.: On-Chip Thermal Sensor Placement, Masters, University of Massachuse\s Amherst
(2008), h\p://scholarworks.umass.edu/cgi/viewcontent.cgi?ar3cle=1242&context=theses
[Mukherjee2006]Mukherjee, R., Memik, S.O.: Systema3c temperature sensor alloca3on and placement for
microprocessors. In: Proceedings of the 43rd Annual Design Automa3on Conference, DAC 2006, pp. 542
547. ACM, New York (2006)
[Sabuncu2004] Sabuncu, M.R., Ramadge, P.J.:Gradient based nonuniform subsampling for
informa3ontheore3c alignment methods. In: 26th Annual Interna3onal Conference of the IEEE on
Engineering in Medicine and Biology Society (IEMBS), pp. 16831686 (2004)
[Cochran2009] R. Cochran and S. Reda. Spectral Techniques for High-Resolu3on Thermal Characteriza3on
with Limited Sensor Data. In Design Automa0on Conference, pages 478483, 2009.
[Buedo2002] S. Lopez-Buedo, J. Garrido, E.I. Boemo, Dynamically inser3ng, opera3ng and elimina3ng
thermal sensors of FPGA-based systems, IEEE Transac3ons on components and packaging technologies,
Vol.25, No.4, Dec 2002.
[Buedo2004] L.Buedo and E. Boemo.Making Visible the Thermal Behaviour of Embedded
Microprocessors on FPGAs. A Progress Report. . FPGA04, February 2224, 2004, Monterey, California,
USA

6/1/12

Santanu Sarma, UCI

79

References

[NOWROZ2010] NOWROZ, A. N., COCHRAN, R., AND REDA, S. Thermal monitoring of real
processors: techniques for sensor alloca3on and full characteriza3on. In DAC (2010).
[REDA2011] REDA, S., COCHRAN, R., AND NOWROZ, A. N. Improved Thermal Tracking for
Processors Using Hard and Sox Sensor Alloca3on Techniques. IEEE Trans. Comput. 60, 6
(Nov. 2011), 841851.
[Sharif2010] Sharif et al. Accurate Direct and Indirect On-Chip Temperature Sensing for
Ecient Dynamic Thermal Management, IEEE TRANSACTIONS ON COMPUTER-AIDED
DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 29, NO. 10, OCTOBER 2010.
[Zhang2010] Y. Zhang, A. Srivastava, and M. Zahran, On-Chip Sensor-Driven Ecient
Thermal Prole Es3ma3on Algorithms, ACM Trans. Design Automa3on of Electronic
Systems, vol. 15, no. 3, p. 25:1, 2010.
[Zhang2009] ZHANG, Y., AND SRIVASTAVA, A. Adap3ve and autonomous thermal tracking for
high performance compu3ng systems. In DAC (2010).References ZHANG, Y., AND
SRIVASTAVA, A. Accurate temperature es3ma3on using noisy thermal sensors . In DAC
(2009)

6/1/12

Santanu Sarma, UCI

80

References

[Donoho2006] D. Donoho, Compressed sensing, IEEE Trans. Informa3on Theory, vol. 52,
no. 4, pp. 1289-1306, Apr. 2006.
[Candes 2006] E Cands. Compressive Sampling. Proceedings of the Interna3onal Congress of
Mathema3cians, pages 120, 2006.
[Tropp2007] Joel Tropp and Anna Gilbert, Signal recovery from random measurements via
orthogonal matching pursuit, IEEE Trans. on Informa3on Theory, Vol. 53, No. 12, pp.
4655-4666, December 2007.
[Tibshirani1996] R. Tibshirani, Regression shrinkage and selec3on via the Lasso, Journal of
Royal Sta3s3cal Society, vol. 58, no. 1, pp. 267-288, 1996.
[Zang2011a] W. Zhang, K. Balakrishnan, Xin Li, D. Boning, and R. Rutenbar, Toward ecient
spa3al varia3on decomposi3on via sparse regression, IEEE ICCAD, pp. 162-169, 2011.
[Zang2010] W. Zhang, X. Li, and R. Rutenbar, Bayesian virtual probe: Minimizing varia3on
characteriza3on cost for nanoscale IC technologies via Bayesian inference, in Proc. DAC,
2010, pp. 262267
[Kudithipudi2013]Thermal Management in Many Core Systems, Thermal Management in
Many Core Systems, Springer ,2013.

6/1/12

Santanu Sarma, UCI

81

References

[Zhang2010] ZHANG, Y., AND SRIVASTAVA, A. Adap3ve and autonomous thermal tracking
for high performance compu3ng systems, In DAC, 2010.
[Zhang2010] Y. Zhang, A. Srivastava, and M. Zahran, On-Chip Sensor-Driven Ecient
Thermal Prole Es3ma3on Algorithms, ACM Trans. Design Automa3on of Electronic
Systems, vol. 15, no. 3, p. 25:1, 2010.
[Zhang2009] ZHANG, Y., AND SRIVASTAVA, A. Accurate temperature es3ma3on using noisy
thermal sensors, In DAC, 2009.
[Wang2013] Hai Wang, Sheldon X.-D. Tan, Sahana Swarup, and Xue-Xin Liu A Power-Driven
Thermal Sensor Placement Algorithm for Dynamic Thermal Management, DATE 2013.
[Bansal2002] Correla3on Clustering, h\p://citeseerx.ist.psu.edu/viewdoc/summary?
doi=10.1.1.10.3857

6/1/12

Santanu Sarma, UCI

82

Thanks
santanus@uci.edu

www.variability.org

6/1/12

www.nsf.gov

Santanu Sarma, UCI

www.uci.edu

83

You might also like