You are on page 1of 221

Jlio de Mesquita Filho

Faculdade de Engenharia - Campus de Ilha Solteira


Programa de Ps Graduao em Engenharia Eltrica
Laboratrio de Eletrnica de Potncia - LEP

Moacyr Aureliano Gomes de Brito

Inversores Integrados Monofsicos e Trifsicos para Aplicaes


Fotovoltaicas: Tcnicas para obteno de MPPT, deteco e
proteo de ilhamento, sincronizao e paralelismo com a rede de
distribuio de energia eltrica

Tese apresentada Faculdade de Engenharia


de Ilha Solteira FEIS/UNESP como parte
dos requisitos para a obteno do ttulo de
Doutor em Engenharia Eltrica. rea de
concentrao: Automao.

Ilha Solteira.
2013.

Moacyr Aureliano Gomes de Brito

Inversores Integrados Monofsicos e Trifsicos para Aplicaes


Fotovoltaicas: Tcnicas para obteno de MPPT, deteco e
proteo de ilhamento, sincronizao e paralelismo com a rede de
distribuio de energia eltrica

Tese submetida Faculdade de Engenharia de Ilha Solteira


FEIS/UNESP como parte dos requisitos exigidos para a
obteno do ttulo de Doutor em Engenharia Eltrica.

Orientador
Prof. Dr. Carlos Alberto Canesin

Ilha Solteira.
2013.

Deus.
minha amada esposa e aos meus queridos pais.

"Embora ningum possa voltar atrs e fazer


um novo comeo, qualquer um pode comear
agora e fazer um novo fim."
Chico Xavier
"Conhecimento no aquilo que voc sabe,
mas o que voc faz com aquilo que se sabe."
Aldous Huxley

Agradecimentos

Deus pela vida e por colocar em meu caminho todas as pessoas e condies
necessrias para o meu desenvolvimento pessoal e profissional.
meus pais, Moacyr de Brito e Alice Gomes dos Santos de Brito, sempre presentes,
que me incentivaram durante toda a jornada, me fazendo acreditar que tudo possvel quando
se tem f e fora de vontade.
Ao Prof. Dr. Carlos Alberto Canesin pela confiana em mim depositada e por no medir
esforos para disponibilizar e adquirir itens fundamentais para o xito da pesquisa. Ainda pelo
incentivo incessante pela produo de artigos cientficos de qualidade.
Ao amigo Leonardo Poltronieri Sampaio pela oportunidade de desenvolvermos o
inversor Boost CSI monofsico e pela implementao da interface grfica para o
gerenciamento dos emuladores de painis solares. Ao amigo Luigi Galotto Junior pela
oportunidade de realizarmos todos os testes experimentais e refinamentos necessrios no
inversor integrado Buck-Boost Tri-State monofsico e pela oportunidade de realizarmos
diversas simulaes dos inversores integrados monofsicos no ambiente MatLab/Simulink.
Ao amigo Jos Carlos Pea pela oportunidade de desenvolvermos o inversor Buck-Boost
integrado monofsico. Ao amigo Marcos Gutierrez Alves pela oportunidade de
desenvolvermos um projeto de pesquisa e de desenvolvimento de um inversor solar para a
empresa WEG e pela fundamental ajuda durante os aprimoramentos de programao para o
funcionamento do inversor Trifsico Integrado Buck-Boost Tri-State. Ao amigo e Prof. Dr.
Guilherme de Azevedo e Melo pelas contribuies durante diversas discusses acerca dos
resultados experimentais.
minha querida esposa, Eliana da Costa Alvarenga de Brito, por entender que a
ausncia foi necessria para o meu aprimoramento profissional e pelo constante incentivo,
ainda maior, quando as dificuldades se sobressaiam s conquistas.
minha irm Deise Gomes de Brito e minha av Olvia Alves Gomes pelo carinho e
pela alegria demonstrada, sempre que eu alcanava um objetivo.
Aos Profs. Dr. Henrique Braga e Dr. Fernando Soares dos Reis pelas importantes e
significativas contribuies quando da presena na banca de qualificao de doutorado.

Aos Profs. Dr. Falcondes Jos Mendes de Seixas, Dr. Guilherme de Azevedo e Melo,
Dr. Luis Carlos de Freitas e Dr. Joo Batista Vieira pelas importantes e significativas
contribuies quando da presena na defesa desta tese de doutorado.
Ao funcionrio Valdemir Chaves e ao amigo Rodrigo Nunes pelo auxlio durante a
usinagem dos dissipadores para a implementao dos prottipos.
FAPESP (Fundao de Amparo Pesquisa do Estado de So Paulo) pelo apoio
financeiro concedido, possibilitando o desenvolvimento deste trabalho e permitindo a
participao em congressos.

Resumo
Esta proposta de tese se baseia na necessidade atual e tendncia mundial na busca por
sistemas cada vez mais eficientes e que se baseiem em fontes de energia alternativas menos
poluentes, renovveis e que produzam pouco impacto ambiental. Desta forma, so
desenvolvidos sistemas de gerao de energia eltrica de pequeno porte baseado em painis
solares fotovoltaicos. Com o intuito de aumentar o rendimento e a densidade de potncia dos
sistemas, so apresentadas novas estruturas de inversores monofsicos e trifsicos integrados
para aplicao como sistemas isolados e/ou conectados rede de distribuio em corrente
alternada (CA), operando como sistemas de gerao distribudos. Os inversores integrados so
apresentados com o intuito de substituir os usuais sistemas de converso de energia a duplo
estgio. Incorporado aos inversores integrados so implementados mtodos e dispositivos
para extrao da mxima potncia possvel dos painis fotovoltaicos (algoritmo de MPPT),
aumentando assim o aproveitamento de energia advinda destes painis. Alm disso, em
virtude da necessidade de conexo segura destes sistemas rede de distribuio de energia
eltrica em corrente alternada, so realizadas anlises e a implementao de mtodos de
sincronismo com a rede de CA em baixa tenso; alm da anlise e sntese de mtodos hbridos
para deteco e gesto do efeito de ilhamento, a fim de garantir a segurana do sistema. O
controle dos conversores e as tcnicas de MPPT, gesto e deteco de ilhamento, bem como
de sincronismo com a rede em CA so implementadas de forma digital, com o objetivo de
propiciar maior flexibilidade na concepo das lgicas de controle adequadas s aplicaes
propostas. Finalmente, destaca-se que o trabalho trouxe contribuies significativas para a
melhoria na implementao dos algoritmos de MPPT P&O e IC, que foram denominados de
P&O e IC baseados em PI. Tambm foram apresentadas as etapas de integrao para a
obteno de novas famlias de inversores integrados monofsicos e trifsicos, destacando a
inovao das topologias ZETA/Cuk integradas. Ainda, destaca-se que esta a primeira tese
que apresenta os inversores tri-state trifsicos em conexo com a rede de distribuio de
energia eltrica. Inversores estes que foram dotados de uma inovao no controle e em sua
modulao, que leva ao controle independente entre entrada e sada, facilitando a busca do
MPPT e a injeo de potncia na rede eltrica.

Palavras-Chave: Converso fotovoltaica. Inversores integrados. MPPT. Ilhamento e sincronismo.

Abstract

This work is based on the actual necessity and world tendency for high-efficiency
systems based on renewable energy sources which are less pollutant and produces little
environmental impact, and, for this purpose, it is developed some photovoltaic electrical
generation systems to operate as a small distribution generation system (DG). With the
purpose to increase efficiency and power density, new single-phase and three-phase integrated
inverter topologies are presented for operating as stand-alone and/or grid-connected systems.
The main idea of these inverters is the replacement of the conventional two-stage cascaded
solutions. Main maximum power point tracking techniques are implemented and tested in
order to increase energy utilization. Furthermore, because of safe-operation grid connection
necessities this work presents analysis and implementation of active and hybrid anti-island
detection techniques and synchronization methods with the AC low voltage grid. The
converters control system and MPPT techniques, anti-island detection and management
system together with the synchronization are implemented digitally, with the purpose of
increasing flexibility for the overall control circuitry. Finally, this work has presented
significant contributions regarding the P&O and IC based on PI MPPT techniques and has
presented the integration procedures in order to obtain new families of single and three-phase
inverters. Moreover, this is the first thesis that has presented the tri-state three-phase inverters
injecting power into the grid. These inverters have inovations at its control and modulation
that permit the input to output decoupling, making these inverters attractive to interface
renewable energy sources as photovoltaics.

Keywords: Photovoltaic energy conversion. Integrated inverters. MPPT. Island and gridsynchronization.

Lista de Figuras

Figura 1- Caractersticas de um painel fotovoltaico para uma condio fixa de temperatura e


irradiao solar. (a) Curva corrente versus tenso. (b) Curva potncia versus
tenso. .................................................................................................................... 28
Figura 2 - Esboo de um sistema PV conectado rede. ........................................................... 36
Figura 3 - Exemplo de zona de no deteco (NDZ) para os mtodos de sub e sobretenso e
sub e sobrefrequncia. ........................................................................................... 37
Figura 4 - Extenso do zero de corrente usado no mtodo AFD. ............................................ 39
Figura 5 - Diagrama bsico do PLL. ........................................................................................ 42
Figura 6 - Conversor Boost CC-CC. ........................................................................................ 45
Figura 7 - Circuito eltrico equivalente de uma clula fotovoltaica......................................... 46
Figura 8 - Modelo de simulao em ambiente MatLab/Simulink para o painel fotovoltaico.
............................................................................................................................... 48
Figura 9 - Modelo em espao de estados mdio do conversor Boost. ..................................... 49
Figura 10 - Modelo do mtodo da Tenso Constante. .............................................................. 50
Figura 11 - Fluxograma do mtodo P&O. ................................................................................ 51
Figura 12 - Modelo implementado em Matlab/Simulink para simulao do mtodo P&O. . 51
Figura 13 - Fluxograma do mtodo da Condutncia Incremental. ........................................... 52
Figura 14 - Modelo implementado para simulao do mtodo IC. .......................................... 52
Figura 15 - Modelo de simulao do mtodo Beta. .................................................................. 53
Figura 16 - Modelo de simulao do mtodo da Oscilao do Sistema. .................................. 53
Figura 17 - Modelo de simulao do mtodo da Correlao de Ripple. .................................. 53
Figura 18 - Modelo de simulao do mtodo da Temperatura. ................................................ 54
Figura 19 - Caracterstica de potncia do PV. (a) Para diferentes nveis de radiao e (b) Sob
diferentes nveis de temperatura. ........................................................................... 55
Figura 20 - Resposta de potncia usando os melhores algoritmos de MPPT. .......................... 56
Figura 21 - Fator de rastreamento dos mtodos. ...................................................................... 57
Figura 22 - Comparao da ondulao de tenso do painel no MPP. ...................................... 58
Figura 23 - Comparao da busca do MPP a partir da potncia mnima. ................................ 59
Figura 24 - Arranjo experimental para teste dos algoritmos de MPPT. ................................... 60

Figura 25 - Comportamento dinmico dos algoritmos de MPPT. (a) Degrau negativo (200W100W); (b) Degrau positivo (100W-200W); (c) Inicializao (0W-200W).
Escalas: Tenso (20V/div); Corrente (5A/div); Potncia (100W/div) e Tempo: (a)
e (b) (20ms/div) e (c) (200ms/div). ....................................................................... 61
Figura 26 - Inicializao do Mtodo da Correlao. Potncia (100W;div); Tenso (20V/div);
Corrente (5A/div) e Tempo (20ms/div). ................................................................ 62
Figura 27 - Interface grfica amigvel ao usurio: Ponto de operao fixo. ............................ 62
Figura 28 - Interface grfica amigvel ao usurio: Perfis de potncia variveis. ..................... 63
Figura 29 - Energia extrada utilizando os mtodos Vcte, P&O e Beta e IC baseado em PI. .. 64
Figura 30 - Energia extrada aplicando perfil de potncia similar ao dirio. ........................... 65
Figura 31 - Energia extrada aplicando perfil de potncia similar ao dirio e aquisio usando
o osciloscpio. Formas de onda da direita supe uso de rastreador solar. ............ 65
Figura 32 - Modelo para testes dos algoritmos de Anti-Ilhamento. ......................................... 70
Figura 33 - Estratgia de injeo de potncia ativa em sincronismo com a rede. .................... 70
Figura 34 - Alterao no controle para injeo de potncia reativa. ........................................ 71
Figura 35 - Alterao no PLL para o mtodo AFD. ................................................................. 72
Figura 36 - Alterao na sada do PLL para o mtodo SMS. ................................................... 73
Figura 37 - Alterao na sada do PLL para o mtodo SFS. .................................................... 73
Figura 38 - Modelo para teste do algoritmo SVS. .................................................................... 74
Figura 39 - Modelo para teste do mtodo de medio de impedncia. .................................... 75
Figura 40 - Efeito aps ilhamento para potncia gerada igual potncia consumida.............. 76
Figura 41 - Efeito aps ilhamento para potncia gerada maior do que a potncia consumida. 76
Figura 42 - Efeito aps ilhamento para potncia gerada menor do que a potncia consumida.
............................................................................................................................... 76
Figura 43 - Mudana de frequncia aps desconexo da rede. ................................................ 77
Figura 44 - Curva do algoritmo e da carga local em funo da frequncia. ............................. 78
Figura 45 - Mudana de frequncia aps desconexo da rede. ................................................ 78
Figura 46 - Curva do algoritmo e da carga local em funo da frequncia. ............................. 79
Figura 47 - Mudana de frequncia aps desconexo da rede. ................................................ 79
Figura 48 - Mudana de frequncia aps desconexo da rede. ................................................ 80
Figura 49 - Reduo do valor RMS de tenso at a deteco pelo algoritmo. ......................... 80
Figura 50 - Tecnologia de nico conversor centralizado para aplicao com mltiplos painis
PVs......................................................................................................................... 83
Figura 51 - Principais estratgias de conversores. .................................................................... 85

Figura 52 - Possibilidades de conversores com o uso de transformadores. ............................. 87


Figura 53 - Esquema sem transformador. ................................................................................. 88
Figura 54 - Caracterstica de sada dos conversores para operao isolada. ............................ 89
Figura 55 - Caracterstica de sada dos conversores para operao isolada e conectada. ........ 89
Figura 56 - Caracterstica de sada dos conversores para operao em conexo com rede. .. 90
Figura 57 - Etapas para a integrao dos estgios Boost e inversor. ........................................ 92
Figura 58 - Controle no modo corrente para o inversor Boost. ................................................ 93
Figura 59 - baco da variao da razo cclica em funo de t, para cada especfico ....... 94
Figura 60 - Etapas para a integrao dos estgios Buck-Boost e inversor. .............................. 95
Figura 61 - baco da variao da razo cclica em funo de t, para cada especfico ....... 96
Figura 62 - Inversor monofsico Boost Tri-State. .................................................................... 97
Figura 63 - Etapas para a integrao dos estgios Cuk e inversor. .......................................... 98
Figura 64 - Famlia de inversores integrados monofsicos. ..................................................... 99
Figura 65 - Famlia de inversores integrados trifsicos. ........................................................... 99
Figura 66 - Modelo de simulao para a associao do conversor elevador mais inversor VSI
monofsico ........................................................................................................... 102
Figura 67 - Modelo de simulao para o controle e modulao para o conversor Boost....... 102
Figura 68 - Modelo de simulao para o controle e modulao do inversor VSI monofsico
............................................................................................................................. 103
Figura 69 - Modelo de Simulao do Inversor Boost Integrado monofsico ......................... 104
Figura 70 - Modelo de simulao para o controle e modulao do inversor Boost Integrado
monofsico. .......................................................................................................... 104
Figura 71 - Corrente injetada na rede com os conversores avaliados. ................................... 105
Figura 72 - Detalhe das correntes para os inversores. ............................................................ 106
Figura 73 - Rastreamento do MPP pelos conversores. ........................................................... 107
Figura 74 - Inversores trifsicos a duplo estgio (a) VSI (b) NPC 3 nveis. .......................... 109
Figura 75 - Formas de onda de corrente injetadas na rede. .................................................... 109
Figura 76 - Formas de Onda para o NPC trs nveis. (a) Corrente injetada (b) Tenso de linha
antes do filtro. ...................................................................................................... 110
Figura 77 - Formas de onda para o inversor boost. (a) Corrente no indutor. (b) Correntes
injetadas na rede. ................................................................................................. 110
Figura 78 - Formas de onda para o inversor buck-boost. (a) Corrente no indutor. (b) Correntes
injetadas na rede. ................................................................................................. 110

Figura 79 - Formas de onda para o inversor boost de 3 estados. (a) Corrente no indutor. (b)
Correntes injetadas na rede. ................................................................................. 111
Figura 80 - Formas de onda para o inversor buck-boost de 3 estados. (a) Corrente no indutor.
(b) Correntes injetadas na rede. ........................................................................... 111
Figura 81 - Rampa de injeo de corrente na rede (a) Boost Integrado de 3 estados. (b) Boost
Integrado .............................................................................................................. 112
Figura 82 - Conversores Integrados Implementados. ............................................................. 112
Figura 83 - Principais formas de onda para o Inversor Boost Integrado. ............................... 113
Figura 84 - Anlise de um degrau de carga aplicado ao Inversor Boost Integrado ................ 114
Figura 85 - Principais formas de onda do Inversor Buck-Boost ............................................ 114
Figura 86 - Principais formas de onda do Inversor uk ......................................................... 115
Figura 87 - Resposta ao degrau de Carga ............................................................................... 115
Figura 88 - Principais formas de onda do Inversor Zeta ........................................................ 116
Figura 89 - Resposta ao degrau de Carga ............................................................................... 116
Figura 90 - Partida dos conversores de 3 estados. (a) Boost; (b) Buck-Boost. Tenso de Sada
em Azul e Corrente no Indutor em Verde. Escalas: Tenso (100V/div); Corrente
(10A/div); Tempo (100ms/div). .......................................................................... 117
Figura 91 - Principais formas de onda do Conversor Boost de 3 estados no modo isolado.
Escalas: Tenso (200V/div); Corrente (15A/div); Tempo (10ms/div). ............... 117
Figura 92 - Principais formas de onda do Conversor Buck-Boost de 3 estados no modo
isolado. Escalas: Tenso (200V/div); Corrente (15A/div); Tempo (10ms/div). . 118
Figura 93 - Tenses de sada do conversor Buck-Boost de 3 estados. Tenso do conversor em
verde (100V/div) e Tenso da rede em azul (100V/div); Tempo (10ms/div). .... 118
Figura 94 - Tenses de sada, Corrente injetada na rede e potncia instantnea. Tenso da
rede em azul (100V/div), Corrente injetada (2A/div) e potncia instantnea na
rede (100VA/div); Tempo (20ms/div). ................................................................ 119
Figura 95 - Formas de onda para operao simultnea em stand-alone e grid-tied. Tenso da
rede em verde (100V/div), Corrente injetada em rosa (2A/div), tenso do
conversor em azul (100V/div) e corrente na carga local (2A/div); Tempo
(20ms/div). ........................................................................................................... 119
Figura 96 - Formas de onda para a desconexo do conversor da rede de distribuio em CA.
............................................................................................................................. 120
Figura 97 - Formas de onda para a reconexo automtica do conversor rede ..................... 120

Figura 98 - Formas de onda da corrente no indutor Buck-Boost (IBB), na entrada do conversor


(Iin) e no painel fotovoltaico (IPV). ...................................................................... 121
Figura 99 - Inversor Integrado Boost Tri-State operando conectado a rede. ......................... 123
Figura 100 - Etapas de operao do Inversor Integrado Boost Tri-State. .............................. 124
Figura 101 - Modulao espacial para os inversores VSI e CSI ............................................ 125
Figura 102 - Clculo das projees baseadas no setor 1. ....................................................... 126
Figura 103 - Diagrama de blocos da modulao proposta (a); Exemplo de implementao dos
pulsos de gate (b). ................................................................................................ 127
Figura 104 - Modelo da modulao em ambiente Matlab/Simulink. .................................. 127
Figura 105 - Detalhe da tenso refletida no barramento CC. ................................................. 130
Figura 106 - Ganho esttico para diferentes combinaes de D1 e D2. ................................. 131
Figura 107 - Ganho esttico para diferentes combinaes de D1 e Dnull. ............................... 131
Figura 108 - Ganho esttico real considerando as perdas no indutor. .................................... 132
Figura 109 - Esboo das principais correntes no Inversor...................................................... 134
Figura 110 - Estimativa das Perdas para alguns Semicondutores. ......................................... 135
Figura 111 - Esboo das principais correntes no Inversor VSI Trifsico. .............................. 136
Figura 112 - Estimativa das Perdas para alguns Semicondutores. ......................................... 136
Figura 113 - Comparao entre as melhores eficincias do CSI e do VSI trifsicos. ............ 137
Figura 114 - Comparao entre as melhores eficincias com duas topologias de filtros para o
VSI. ...................................................................................................................... 137
Figura 115 - Diagrama de blocos simplificado para o conversor. .......................................... 138
Figura 116 - Diagrama de blocos para o controle no modo conectado. ................................. 139
Figura 117 - Esboo das transformadas no referencial sncrono. ........................................... 140
Figura 118 - Circuitos Equivalentes. ...................................................................................... 141
Figura 119 - Inversor Integrado Buck-Boost Tri-State operando conectado rede. .............. 145
Figura 120 - Etapas de operao do Inversor Integrado Buck-Boost Tri-State...................... 146
Figura 121 - Ganho esttico para diferentes combinaes de D1 e D2. ................................. 148
Figura 122 - Ganho esttico para diferentes combinaes de D1 e Dnull. ............................ 148
Figura 123 - Ganho esttico real considerando as perdas no indutor. .................................... 149
Figura 124 - Esboo das principais correntes no Inversor...................................................... 150
Figura 125 - Estimativa das perdas para alguns Semicondutores. ......................................... 151
Figura 126 - Comparao entre as melhores eficincias com duas topologias de filtros para o
VSI. ...................................................................................................................... 151
Figura 127 - Diagrama de blocos simplificado para o inversor Buck-Boost ......................... 152

Figura 128 - Tenses e correntes na carga local durante degrau de carga. ............................ 154
Figura 129 - Correntes injetadas na rede com rampa de inicializao. .................................. 154
Figura 130 - Detalhe da corrente injetada na rede. ................................................................. 154
Figura 131 - Tenses nos capacitores de sada. ...................................................................... 155
Figura 132 - Deslocamento angular entre as tenses da fase "a" do capacitor e da rede. ...... 155
Figura 133 - Corrente no indutor de acumulao. .................................................................. 156
Figura 134 - Potncia drenada do PV ..................................................................................... 157
Figura 135 - Corrente injetada na rede. .................................................................................. 157
Figura 136 - Modelo de Simulao incluindo o Painel Fotovoltaico. .................................... 158
Figura 137 - Arranjo experimental para os testes com o inversor. ......................................... 159
Figura 138 - Detalhes da implementao do inversor. ........................................................... 159
Figura 139 - Verificao dos sinais de controle digitais referentes modulao do inversor.
............................................................................................................................. 160
Figura 140 - Sinais referentes aos tempos de curto, transferncia e mantm. ........................ 161
Figura 141 - Modulao em alta frequncia. .......................................................................... 161
Figura 142 - Pulsos de gate para o acionamento das chaves do inversor. Amarelo: Chave Sp;
Verde: Chave S1; Vermelho: Chave S5 e Rosa: Chave S4. .................................. 162
Figura 143 - Principais formas de onda para o inversor Buck-Boost. Ciano: Corrente no
indutor (5A/div); Amarelo: Tenso na fase "a" (medida no sensor LEM - 4,7V
representam 180 Vpico); Verde: Corrente na fase "a" (5A/div) e Vermelho:
Corrente na fase "c" (5A/div). Tempo: 10ms/div. ............................................... 164
Figura 144 - Principais formas de onda para o inversor Buck-Boost. Ciano: Corrente no
indutor (5A/div); Amarelo: Tenso na fase "a" (medida no sensor LEM - 4,7V
representam 180 Vpico); Verde: Corrente na fase "a" (5A/div) e Vermelho:
Corrente na fase "b" (5A/div). Tempo: (10ms/div). ............................................ 164
Figura 145 - Principais formas de onda para o inversor Buck-Boost. Ciano: Corrente no
indutor (5A/div); Amarelo: Tenso na fase "a" (medida no sensor LEM - 4,7V
representam 180 Vpico); Verde: Corrente na fase "a" (5A/div) e Vermelho:
Corrente na fase "b" (5A/div). Tempo: (10ms/div). ............................................ 165
Figura 146 - Formas de onda para as correntes trifsicas de sada do inversor Buck-Boost.
Verde: Corrente na fase "a" (2A/div), Vermelho: Corrente na fase "b" (2A/div) e
Rosa: Corrente na fase "c" (2A/div) Tempo: (10ms/div). (a) at (f): potncia de
sada aproximada em watts. ................................................................................. 166

Figura 147 - Detalhe do sistema de medio baseado no analisador de qualidade de energia


Fluke. ................................................................................................................... 167
Figura 148 - Fasores de tenso e de correntes trifsicos. ....................................................... 167
Figura 149 - Distoro harmnica total de tenso para cada fase. ......................................... 168
Figura 150 - Distoro harmnica total de corrente para cada fase. ...................................... 168
Figura 151 - Degraus de corrente aplicados ao indutor Buck-Boost. Vermelho:corrente sobre
o indutor; Roxo:tenso de sada da fase 'a'. Verde e marrom: corrente na fase 'a' e
'b'. Tempo: 200ms/div.......................................................................................... 169
Figura 152 - Degraus de tenso na sada do Inversor. Vermelho: corrente sobre o indutor
Buck-Boost; Roxo:tenso de sada da fase 'a'. Verde e marrom: corrente na fase 'a'
e 'b'. Tempo: 500ms/div. ...................................................................................... 169
Figura 153 - Partida e desligamento suaves do inversor. ....................................................... 170
Figura 154 - Curva da eficincia experimental do inversor. Em vermelho: destaque para as
medies apresentadas na Fig. 149. ..................................................................... 170
Figura 155 - Algumas eficincias medidas com o sistema Fluke 435 I ................................. 171
Figura 156 - Interface grfica para utilizao do TerraSAS. Potncia mxima testada de 2kW
............................................................................................................................. 171
Figura 157 - Arranjo experimental para os testes de conexo. ............................................... 172
Figura 158 - Detalhe da implementao experimental. Detalhe para o sistema de medio no
ponto de conexo com a rede eltrica. ................................................................. 172
Figura 159 - Sincronizao do inversor instantes antes da conexao rede. (a) Vermelho:
Tenso da rede da fase "a"; Rosa: Tenso no capacitor AC da fase "a".(b)
Vermelho: Tenso da rede da fase "a"; Rosa: Tenso no capacitor AC da fase "a";
Azul: Corrente no indutor de entrada e Amarelo: Corrente na Carga Local. ...... 173
Figura 160 - Injeo de potncia na rede. Correntes nas fases "a", "b" e "c". Fase "a" em
verde, fase "b" em vermelho e fase "c" em rosa. Tenso na rede em amarelo. ... 174
Figura 161 - Correntes injetadas na rede. ............................................................................... 175
Figura 162 - Deslocamento angular entre as formas de onda da tenso do capacitor da fase "a"
em verde e da rede para a fase "a" em amarelo. .................................................. 176
Figura 163 - Tenso da rede da fase "a" juntamente com a corrente injetada na rede para a
fase "a" e tenso da fase "a" do capacitor juntamente com a corrente na carga
local...................................................................................................................... 176
Figura 164 - Medio do fator de potncia (a) e da taxa de distoro harmnica (b), para a
potncia de 740W. ............................................................................................... 177

Figura 165 - Medio do fator de potncia (a) e da taxa de distoro harmnica (b), para a
potncia de 1kW. ................................................................................................. 177
Figura 166 - Medio do fator de potncia (a) e da taxa de distoro harmnica (b), para a
potncia de 1,3kW. .............................................................................................. 177
Figura 167 - Esquemtico da Placa de Potncia. .................................................................... 197
Figura 168 - Esquemtico da Placa de Potncia - Circuitos de ataque de Gate. .................... 198
Figura 169 - Esquemtico da Placa de Sensoreamento Parte 1-2........................................... 199
Figura 170 - Esquemtico da Placa de Sensoreamento Parte 2-2........................................... 200
Figura 171 - Esquemtico da Fonte Auxiliar.......................................................................... 201
Figura 172 - Programao do inversor buck-Boost tri-state................................................... 218
Figura 173 - Tela para controle em tempo real do inversor buck-Boost tri-state................... 219
Figura 174 - Esquemtico da Placa de Potncia - Inversor Buck-Boost Tri-State ................. 220

Lista de Tabelas

Tabela 1 - Parmetros eltricos do painel em estudo. .............................................................. 54


Tabela 2 - Parmetros do conversor boost utilizado para simulao. ...................................... 55
Tabela 3 - Principais caractersticas dos algoritmos de MPPT. ............................................... 66
Tabela 4 - Principais caractersticas dos algoritmos de anti-ilhamento. .................................. 81
Tabela 5 - Comparativo entre as topologias testadas. ............................................................ 108
Tabela 6 - Decodificao dos setores do hexgono ................................................................ 128
Tabela 7 - Codificao para seleo do tempo de chaveamento. ........................................... 128
Tabela 8 - Codificao para seleo dos estados de chaveamento do inversor Boost Tri-State.
................................................................................................................................................ 129
Tabela 9 - Codificao para seleo dos estados de chaveamento do inversor Buck-Boost.. 146
Tabela 10 - Decodificao para seleo dos estados de chaveamento ................................... 161
Tabela 11 - Caractersticas eltricas da associao dos painis. ............................................ 163
Tabela 12 - Projeto indutor Buck-Boost LB. .......................................................................... 193
Tabela 13 - Projeto do indutor de rede. .................................................................................. 194
Tabela 14 - Projeto do indutor de rede - prevendo aumento de potncia. .............................. 195
Tabela 15 - Perdas nos semicondutores. ................................................................................. 196
Tabela 16 - Cdigo em C........................................................................................................ 202
Tabela 17 - Cdigo em VHDL para a seleo dos pulsos de chaveamento. .......................... 212

Lista de Abreviaturas

PV

Painel fotovoltaico

GDEE

Gerao distribuda de energia

MPP

Ponto de mxima potncia

PMAX

Mxima potncia disponvel

PMMP

Energia extrada pelo algoritmo de MPPT

MPPT

Rastreador do ponto de mxima potncia

VMPP

Tenso no ponto de mxima potncia

VOC

Tenso de circuito aberto

STC

Condio atmosfrica padro

ISC

Corrente de curto circuito

P&O

Perturbao e observao

Mod P&O

Perturbao e observao modificado

IC

Condutncia incremental

Mod IC

Condutncia incremental modificado

D Cte

Mtodo da razo cclica constante

V Cte

Mtodo da tenso constante

IA

Inteligncia artificial

PCC

Ponto comum de acoplamento de carga

NDZ

Zona de no deteco

NPC

Neutral point clamped

DHT

Distoro harmnica total

PLL

Phase Locked Loop

IEC

International Electrotechnical Commission

IEEE

Institute of Electrical and Electronics Engineers

PI

Proporcional-integral

RLC

Carga de resistores, indutores e capacitores em paralelo

FPGA

Field programm gate array

DSC

Digital signal controller

SMS

Slip-mode frequency shift

AFD

Active frequency drift

SFS

Sandia frequency shift

SVS

Sandia voltage shift

CA

Corrente alternada

CC

Corrente contnua

DFT

Discrete fourier transform

FC

Fuel Cell

FR

Fator de rastreamento

RMS

Valor eficaz

VSI

Voltage source inverter

CSI

Current source inverter

SVM

Space vector modulation

IGBT

Insulated Gate Bipolar Transistor

RB-IGBT

Reversing blocking IGBT

MCC

Modo de conduo contnuo

SEPIC

Single ended primary inductance converter

Lista de Smbolos

Carga do eltron

Fator de qualidade da juno do painel

Temperatura

Tr

Temperatura de referncia

Ns

Quantidade de clulas fotovoltaicas em srie

Constante de Boltzmann

Rs

Resistncia srie do PV

Rp

Resistncia paralela do PV

VPV

Tenso do PV

IPV

Corrente do PV

Tenso de uma clula fotovoltaica

Corrente de uma clula fotovoltaica

Irr

Corrente de saturao reversa de referncia

Iph

Fotocorrente

Coeficiente de temperatura da clula

Psun

Intensidade de radiao solar

EG

Energia de banda proibida

ISC

Corrente de curto-circuito por clula

VOC

Tenso de circuito aberto por clula

Variao de potncia ativa

Variao de potncia reativa

Variao de tenso

LB

Indutor do conversor boost CC-CC

SB

Chave do conversor boost CC-CC

DB

Diodo do conversor boost CC-CC

CB

Capacitor do conversor boost CC-CC

RL

Carga resistiva

fs

Frequncia de chaveamento

f(x)

Funo matemtica

f'(x)

Derivada primeira de uma funo matemtica

fq(t)

Funo de chaveamento para o conversor boost

Qf

Fator de qualidade

Frequncia da rede

Resistncia da carga em paralelo

Indutncia da carga em paralelo

Capacitncia da carga em paralelo

Potncia ativa

Pin

Potncia de entrada

Pout

Potncia de sada

Vcarga

Tenso na carga em paralelo

Chf

Frao de corte do mtodo AFD

Tz

Tempo de corrente nula

Perodo da rede

ngulo de fase do mtodo SMS

max

ngulo mximo para o desvio

fpll

Frequncia de sada do PLL

fmax

Frequncia mxima admissvel

Cf

Fraco de corte do mtodo SFS

cf0

Frao de corte inicial do mtodo SFS

Ganho do mtodo SFS

Dn

Diodo da associao srie de mltiplos PVs

BF

Transformador de baixa frequncia

AF

Transformador de alta frequncia

Vin

Tenso de entrada

Lb

Indutor de acumulao de energia

L2

Indutor intermedirio de acumulao de energia

Sb

Chave controlada

Db

Diodo

Cb

Capacitor de barramento CC

S1-12

Interruptores operando como chaves eletrnicas

D1-6

Diodos de retorno de corrente

Lo

Indutor de sada

Co

Capacitor de sada

C2

Capacitor de acumulao intermedirio

Vdc

Tenso contnua de alimentao

Sbb

Chave principal do inversor monofsico Buck-Boost

Lbb

Indutor de acumulao do inversor monofsico Buck-Boost

Sc

Chave principal do inversor monofsico Cuk

Lc

Indutor de acumulao do inversor monofsico Cuk

Cc

Capacitor de acumulao intermedirio do inversor Cuk

Sz

Chave principal do inversor monofsico Zeta

Lz

Indutor de acumulao do inversor monofsico Zeta

Cz

Capacitor de acumulao intermedirio do inversor Zeta

Ss

Chave principal do inversor monofsico SEPIC

Ls

Indutor de acumulao do inversor monofsico SEPIC

Cs

Capacitor de acumulao intermedirio do inversor SEPIC

Ls2

Indutor de acumulao intermedirio do inversor monofsico SEPIC

Lac

Indutor de rede

La

Indutncia da fase a da rede

Lb

Indutncia da fase b da rede

Lc

Indutncia da fase c da rede

Cac

Capacitor de rede

Ca

Capacitncia da fase a da rede

Cb

Capacitncia da fase b da rede

Cc

Capacitncia da fase c da rede

Vac

Tenso da rede

Ea

Tenso da fase a da rede

Eb

Tenso da fase b da rede

Ec

Tenso da fase c da rede

Ed

Tenso de eixo direto da rede

Eq

Tenso de eixo em quadratura da rede

VCa

Tenso na fase a do capacitor de rede

VCb

Tenso na fase b do capacitor de rede

VCc

Tenso na fase c do capacitor de rede

Vref

Tenso de referncia

kv

Ganho do sensor de tenso

ki

Ganho do sensor de corrente

Vout

Tenso de sada

Razo cclica

D1

Razo cclica principal

D2

Razo cclica secundria

Ci(s)

Compensador de corrente

Cv(s)

Compensador de tenso

Gid

Planta de corrente em funo de D para o inversor Boost

Gvi

Planta de tenso em funo da corrente para o inversor Boost

Saux

Chave auxiliar do inversor boost tri-state

Velocidade angular sncrona

Velocidade angular

Ta

Tempo de chaveamento do vetor principal

Tb

Tempo de chaveamento do vetor secundrio

Tnull

Tempo de roda livre

|V|

Mdulo da tenso

Vp

Tenso de pico da rede

Ip

Corrente de pico da rede

Io

Corrente de sada

Vo

Tenso de sada refletida no barramento CC

Po

Potncia de sada trifsica

VLinha

Tenso de linha da rede

LB

Indutor de acumulao dos inversores trifsico

RLB

Resistncia do indutor de acumulao dos inversores trifsicos

ILB

Variao da corrente no indutor de acumulao dos inversores trifsicos

Vca

Variao da tenso no capacitor de rede

Ro

Resistncia aparente de carga

fNyq

Frequncia de Nyquist

fa

Frequncia de amostragem

IS1avg_T

Corrente mdia no perodo de chaveamento

IS1avg_frede

Corrente mdia no perodo de rede

IS1rms_T

Corrente RMS no perodo de chaveamento

IS1rms_frede

Corrente RMS no perodo de rede

Tenso no eixo alfa

Tenso no eixo beta

Vo

Tenso de sequncia zero

Vd

Tenso de eixo direto

Vq

Tenso de eixo de quadratura

Rendimento

Sumrio

1 Reviso Bibliogrfica Geral...............................................................................................27

2 Avaliao dos Principais Mtodos de MPPT...................................................................45

3 Avaliao dos Principais Algoritmos de Anti-Ilhamento................................................69

4 Avaliao das Principais Topologias de Conversores para Aplicao com PVs..........83

5 Inversores Trifsicos Integrados Tri-State.....................................................................123

6 Concluses e Trabalhos Futuros......................................................................................179

Referncias..........................................................................................................................182

Apndice A - Produo de Artigos Cientficos..............................................................................188

Apndice B - Projeto do Inversor Buck-Boost Tri-State Trifsico .............................................192

Apndice C - Cdigos de Programao..........................................................................................202

Apndice C - Desenho PCI..............................................................................................................220

27

Captulo 1
Reviso Bibliogrfica Geral

A crescente demanda energtica aliada possibilidade de reduo da oferta de


combustveis convencionais, junto com a crescente preocupao com a preservao
ambiental, tem impulsionado pesquisas e desenvolvimento de fontes de energia alternativas
menos poluentes, renovveis e que produzam pouco impacto ambiental. Dentre as fontes
alternativas, a energia eltrica proveniente dos painis solares (PVs) tem tido um grande
destaque nvel mundial, tanto nas pesquisas como em incentivos governamentais. Alm
claro da grande quantidade de empresas interessadas no desenvolvimento de solues cada
vez mais competitivas na rea de inversores solares. Isso decorre do potencial que a energia
solar proporciona, j que se apresenta como a fonte de energia natural mais til, uma vez que
livre, abundante, no poluente, distribuda ao longo da Terra e participa como fator primrio
de todos os outros processos de obteno de energia (AGNCIA NACIONAL DE ENERGIA
ELTRICA - ANEEL, 2003; KNON et al., 2006; CHA; LEE, 2008). Alm disso, apesar dos
fenmenos de reflexo e absoro dos raios solares pela atmosfera, estima-se que a energia
solar incidente sobre a superfcie da terra seja da ordem de dez mil vezes maior do que o
consumo energtico mundial (CENTRO DE PESQUISAS DE ENERGIA ELTRICA CEPEL, 2000). Neste contexto, o conceito de gerao distribuda de energia eltrica (GDEE),
transformou-se numa possibilidade tcnica real e atual, estimulando diversas pesquisas e
normatizaes em todo o mundo.
Em relao ao Brasil, este possui excelentes nveis de radiao solar, pois est
localizado numa faixa de latitude na qual a incidncia de radiao solar muito superior
verificada no restante do mundo, colocando o pas em vantagem com relao aos pases mais
desenvolvidos no que tange possibilidade de utilizao da energia solar fotovoltaica. Apenas
para exemplificar este potencial, a Alemanha, que um dos pases desenvolvidos que mais
utilizam energia solar, este apresenta ndices de radiao solar bem inferiores aos do Brasil.
Em sua regio mais favorecida tem-se aproximadamente 1,4 vezes menos radiao solar do
que na regio menos favorecida do Brasil (SALAMONI; RTHER, 2007).

28

Apesar de todas as vantagens apresentadas pela gerao de energia atravs do uso dos
painis fotovoltaicos, a eficincia da converso de energia atualmente baixa e o custo inicial
para sua implantao ainda considerado muito elevado; e desta forma, torna-se necessrio a
utilizao de tcnicas de extrao da mxima potncia possvel (MPPT Maximum Power
Point Tracking) destes painis, para se obter mxima eficincia em operao (DESAI;
PATEL, 2007; ESRAM; CHAPMAN, 2007; PANDEY et al., 2007; FARANDA et al., 2008;
LAIRD et al., 2008; JAEN et al., 2008). Considerando-se um nico PV, necessrio salientar
que h apenas um ponto de mxima potncia (MPP Maximum Power Point), e este varia de
acordo com as condies climticas e de temperatura (DESAI; PATEL, 2007; FARANDA et
al., 2008). Os painis fotovoltaicos apresentam caractersticas eltricas no lineares de tenso
versus corrente, conforme exemplificado na Figura 1(a), as quais variam de acordo com o
nvel de radiao solar e de temperatura e que tornam a extrao desta mxima potncia uma
tarefa complexa, considerando-se variaes metereolgicas. Para superar este problema,
vrios mtodos para extrao da mxima potncia tm sido propostos na literatura (DESAI;
PATEL, 2007; ESRAM; CHAPMAN, 2007; PANDEY et al., 2007; FARANDA et al., 2008;
JAEN et al., 2008). Como exemplo, tm-se os mtodos da Tenso Constante, da Tenso de
Circuito Aberto, de Curto-Circuito por Pulsos, da Perturbao e Observao, da Condutncia
Incremental, Hill Climbing, Beta, Correlao de Ripple, Oscilao do Sistema e os mtodos
baseados em temperatura e tambm em inteligncia artificial.
Figura 1- Caractersticas de um painel fotovoltaico para uma condio fixa de temperatura e irradiao solar. (a)
Curva corrente versus tenso. (b) Curva potncia versus tenso.

(a)

(b)

Fonte: Prprio autor.

O mtodo da Tenso Constante utiliza resultados empricos, indicando que a tenso no


MPP (VMPP) da ordem de 70 80% da tenso em circuito aberto (VOC) do painel
fotovoltaico para a condio atmosfrica padro (STC). Entre os diversos pontos de MPP
(variando-se as condies atmosfricas), a tenso nos terminais do mdulo varia muito pouco,

29

mesmo quando a intensidade da irradiao solar se altera. Assim, assegurando-se que a tenso
no mdulo permanea constante possvel operar prximo do MPP. Este mtodo requer
apenas um sensor de tenso e algoritmos simples, como exemplo o uso de uma malha de
controle em tenso com referncia fixa; contudo o valor de potncia extrada nunca o MPP
verdadeiro e diferentes dados devem ser adotados para cada regio geogrfica, tornando este
mtodo pouco utilizado. Apesar da pouca aplicabilidade, este mtodo funciona muito bem
com nveis de insolao bastante reduzidos, e desta forma, pode ser combinado com outros
mtodos de MPPT para melhorar a eficincia global do sistema (YU et al., 2002; JAIN;
AGARWAL, 2007 a; FARANDA et al., 2008).
O mtodo da Tenso de Circuito Aberto baseado em observaes de que a tenso no
MPP sempre muito prxima a uma porcentagem fixa de VOC, e alm disso, a relao entre
VMPP e VOC deve ser obtida de forma emprica para cada tipo de painel a ser usado e sob
diferentes condies de irradiao e temperatura. De posse desta constante de
proporcionalidade, necessrio medir periodicamente VOC, uma vez que se altera com
mudanas climticas, a fim de obter VMPP. Isto realizado inserindo um interruptor esttico
em srie com o painel fotovoltaico, desligando o conversor momentaneamente, o que leva a
perdas temporrias de energia e maior complexidade do circuito. Uma alternativa usar
clulas piloto de menor potncia com as mesmas caractersticas dos painis, a fim de se obter
VOC (ENSLIN et al., 1997; ESRAM; CHAPMAN, 2007; FARANDA et al., 2008).
Com relao ao mtodo de Curto-Circuito por Pulsos, o MPP obtido atravs de um
conversor esttico controlado em corrente, com uma corrente de referncia proporcional
corrente de curto-circuito (ISC) do PV. Esta constante de proporcionalidade, como nos
mtodos descritos anteriormente, obtida empiricamente e sob vrias condies de
temperatura e irradiao, tambm para cada tipo de painel. Este mtodo requer a determinao
recorrente de ISC, a qual obtida atravs da insero de um interruptor esttico em paralelo
com o PV. Nota-se que no instante do curto-circuito, a tenso nos terminais do painel
fotovoltaico nula, e, portanto, no se extrai energia do painel. Neste mtodo tambm se
aumenta o nmero de componentes e seu custo, alm da perda de energia que no desejvel
(NOGUCHI et al., 2002; ESRAM; CHAPMAN, 2007; FARANDA et al., 2008).
J o mtodo da Perturbao e Observao (P&O) opera periodicamente incrementando
ou decrementando a tenso de sada terminal do PV e comparando a potncia obtida no ciclo
atual com a potncia do ciclo anterior. Caso a tenso varie e a potncia aumente, o sistema de
controle muda o ponto de operao naquela direo; caso contrrio, muda o ponto de
operao na direo oposta. Este mtodo considerado padro para se obter alta eficincia.

30

Este mtodo necessita de sensores de tenso e de corrente para o clculo da potncia do PV.
Alm disso, a tenso terminal do PV sempre alterada e quando o painel opera no MPP
ocorrem oscilaes na potncia de sada em torno do seu valor mximo, o que resulta em
perdas de potncia (HUSSEIN et al, 1995; ESRAM; CHAPMAN, 2007; PANDEY et al.,
2007; FARANDA et al., 2008; JAEN et al., 2008). Os mtodos de P&O podem ser divididos
em P&O clssico, otimizado e de trs pontos. O P&O clssico opera com perturbaes fixas;
em relao ao mtodo otimizado, uma mdia de diversas amostras de potncia usada para
ajustar dinamicamente a magnitude da perturbao; j no P&O de trs pontos, so usados trs
pontos distintos da curva potncia versus tenso, para determinar a direo e magnitude da
prxima perturbao. Em Laird et al, 2008 apresentada uma modificao do P&O clssico,
onde a magnitude da perturbao aumentada quando o sistema se encontra longe do MPP e
quando o algoritmo encontra o MPP, a amplitude da perturbao reduzida. Este algoritmo
usa passo varivel e consegue resposta rpida, alm de minimizar a oscilao de potncia no
MPP.
O mtodo da Condutncia Incremental (Incremental Conductance - IC) baseado no
fato de que a inclinao da curva de potncia do painel solar nula no MPP, positiva
esquerda e negativa direita (vide Figura 1(b)). Este mtodo busca o MPP da mesma forma
que o mtodo P&O (LISERRE et al., 2010), tambm usa dois sensores, um de corrente e
outro de tenso, mas no necessrio calcular a potncia do painel e uma vez alcanado o
MPP, as perturbaes so encerradas at que se observem alteraes na corrente do painel.
Desta forma, no ocorrem oscilaes na potncia do PV e o passo de incremento determina a
velocidade do mtodo (WASYNEZUK, 1983; ESRAM; CHAPMAN, 2007; PANDEY et al.,
2007; FARANDA et al., 2008; JAEN et al., 2008; LAIRD et al., 2008). Tambm pode ser
usado passo varivel para melhorar a eficcia deste mtodo. Contudo, com este mtodo bem
improvvel de se obter exatamente o MPP, e uma pequena margem de erro considerada
satisfatria nos algoritmos prticos (LAIRD et al, 2008). Este mtodo tambm muito
utilizado pela sua eficcia e apresenta bom desempenho diante de variaes rpidas das
condies meteorolgicas (PANDEY et al, 2007; FARANDA et al., 2008). Em Yu et al.
(2002) e Faranda et al.( 2008) comenta-se que uma melhoria neste mtodo pode ser obtida
com a combinao com o mtodo da tenso constante. Se a irradiao solar est 30% abaixo
do nvel de irradiao nominal usa-se o mtodo da tenso constante, caso contrrio, usa-se o
mtodo IC. Consegue-se assim uma melhoria na eficcia do rastreamento da mxima potncia
do PV; entretanto, necessrio adicionar sensor de radiao, o que torna o mtodo
dispendioso.

31

O mtodo Hill Climbing (HC) se baseia na relao entre a razo cclica do conversor
esttico e a potncia do PV, onde o grfico potncia versus razo cclica apresenta a forma de
uma colina. O ponto de mxima potncia pode ser obtido forando que a derivada da potncia
em relao razo cclica seja nula. Isto obtido comparando periodicamente o nvel de
potncia atual com a potncia anterior, aumentando ou diminuindo a razo cclica do
conversor. Desta forma, a razo cclica alterada at que se atinja o MPP. Este mtodo bem
simples, entretanto, pode se tornar confuso caso haja mudanas bruscas nas condies
meteorolgicas, levando o conversor a buscar o MPP na direo contrria. Ademais, difcil
encontrar bom desempenho tanto em regime permanente quanto em transitrios, e a obteno
de um valor de incremento para a razo cclica nem sempre bvio (TEULINGS et al., 1993;
ESRAM; CHAPMAN, 2007; JAEN et al., 2008). Em Pandey et al. (2007), apresenta-se um
mtodo tambm baseado na variao da razo cclica do conversor, verificando que no ponto
de inflexo da curva tenso versus razo cclica o PV opera em potncia mxima. Utiliza-se
uma funo simples onde a potncia proporcional a variao de tenso tornando o algoritmo
de MPP tambm simples. Apesar de obter boa eficincia, o mtodo sensvel a rudos para a
avaliao da funo objetivo.
J o mtodo Beta consiste na aproximao do ponto de mxima potncia por meio do
equacionamento de uma varivel intermediria , sendo esta varivel destacada pela equao
(1).
= ln( I PV / VPV ) c.VPV

(1)

Onde c = (q/(.k.T.Ns)) uma constante que depende da carga do eltron (q), fator de
qualidade da juno do painel (), da constante de Boltzmann (k), da temperatura em Kelvin
(T) e da quantidade de clulas fotovoltaicas em srie (Ns). Conforme as condies de
operao do painel mudam o valor da varivel no ponto timo permanece quase constante.
Desta forma, pode ser continuamente calculada utilizando a tenso e a corrente do painel e
realimentado em uma malha fechada convencional com referncia constante (JAIN;
AGARWAL, 2004, 2007 a).
Em relao ao mtodo da Oscilao do Sistema, este se baseia nos princpios da
mxima transferncia de potncia e utiliza as oscilaes para determinar o ponto timo de
operao. No ponto timo a razo entre a amplitude da oscilao e o valor mdio da tenso
constante. A sua implementao caracterizada basicamente pela utilizao de filtros, tendo
como grande vantagem a necessidade exclusiva da medio da tenso do painel e a sua
implementao pode ser feita apenas com o emprego de circuito analgicos. O mtodo da

32

Correlao de Ripple muito semelhante ao da Oscilao, e, tambm se baseia nos princpios


da mxima transferncia de potncia e utiliza as oscilaes para determinar o ponto timo;
neste caso, este verifica as oscilaes na potncia atravs de filtros passa-alta, necessitando
dos sensores de tenso e de corrente para o posterior clculo da potncia do PV (HO et al.,
2004; CASADEI et al., 2006; JAIN; AGARWAL, 2007 a).
Em relao ao mtodo da Temperatura, este aproveita as funcionalidades do mtodo
da Tenso Constante e atualiza o valor da tenso do ponto de mxima potncia atravs de uma
correo nesta varivel usando um sensor de temperatura de baixo custo acoplado superfcie
do PV. Esta correo interessante uma vez que a tenso no MPP varia com a mudana de
temperatura. Como este mtodo rastreia a tenso do PV e a correo dada pelo sensor de
temperatura lenta, devido a inrcia do sistema, este mantm alta rastreabilidade com pouca
oscilao no ponto de mxima potncia, alm de simplicidade de implementao (PARK;YU,
2004; COELHO et al.,2010).
Mtodos baseados em Inteligncia Artificial (IA), como a lgica Fuzzy, apresentam
normalmente trs estgios de operao. O primeiro denominado de Fuzzification, em que as
variveis de entrada, normalmente o erro e a sua derivada, so convertidas em variveis
lingsticas atravs de uma funo de interpretao. A segunda baseada numa tabela de
regras, determinando a lgica de sada do controle. A terceira denominada de
Defuzzification, onde a sada convertida de varivel lingstica para uma varivel numrica
usando a funo de interpretao. Este mtodo pode trabalhar com entradas imprecisas, no
necessita de um modelo matemtico rigoroso e tambm pode trabalhar com no linearidades.
Alm disso, funciona bem com variaes climticas, mas a eficcia deste mtodo est
intrinsecamente ligada experincia do projetista, que deve escolher de forma adequada o
mtodo de clculo do erro e ser hbil na montagem da tabela de regras (WILAMONSKI;
XIANG, 1993; SIMOES et al., 1998; ESRAM; CHAPMAN, 2007).
Outro mtodo baseado em IA, a rede neural, usa estratgias que o crebro humano
emprega para aprender e se adaptar a fim de obter o MPP nos painis fotovoltaicos. As redes
neurais comumente tm trs camadas, sendo a entrada, a camada oculta e a sada. O nmero
de ns em cada camada dependente do projetista. No que se refere aos painis fotovoltaicos,
a entrada pode ser os parmetros dos painis, tais como VOC e ISC, ou ainda parmetros
climticos como temperatura e irradiao. A sada normalmente constituda por um ou mais
sinais de referncia, como por exemplo, a razo cclica de controle do conversor esttico, para
que este opere no MPP. O quo prximo o ponto de operao est do MPP depende do
algoritmo usado na camada oculta e do treinamento que a rede recebe. As ligaes entre os

33

ns recebem pesos e para a correta definio do MPP, estes pesos devem ser cuidadosamente
determinados atravs de um processo de treinamento, onde o PV testado durante certo
tempo e os padres entre entrada e sada so armazenados. Diferentes painis fotovoltaicos
apresentam caractersticas diferentes; desta forma, a rede treinada para um painel em
especfico e ainda, treinada periodicamente devido s mudanas meteorolgicas a fim de
apresentar boa eficincia. Alm disso, o envelhecimento dos painis tambm demandar o
retreinamento

da

rede

(HIYAMA

et

al.,

1995;

XIAOFENG

et

al.,

2002;

ESRAM;CHAPMAN, 2007).
Dentre os diversos mtodos para localizao do ponto de mxima potncia, levando-se
em considerao custo (quantidade de sensores, componentes, clculo computacional) e
eficincia gerada (proximidade do MPP), os mtodos P&O e IC modificados, Beta,
Temperatura e Correlao de Ripple se destacam (JAIN; AGARWAL, 2007 a; FARANDA et
al., 2008).
Uma das grandes vantagens que o uso da energia gerada pelos painis fotovoltaicos
apresenta o atendimento a comunidades isoladas. No Brasil 15% da populao no possui
acesso energia eltrica. Coincidentemente, esta parcela da populao vive em regies onde o
atendimento por meio da expanso do sistema eltrico convencional economicamente
invivel. Trata-se de ncleos populacionais dispersos e pouco densos, tpicos das regies
Centro-Oeste, Nordeste e Norte (PINHEIRO, 2007). Como o sistema dos painis modular,
aumentando-se a carga aumenta-se tambm a quantidade de painis a fim de suprir a
demanda. Alm disto, um sistema tpico PV apresenta baixos custos de manuteno e vida til
longa (BALAGUER et al., 2008).
A conexo de forma descentralizada de sistemas de painis solares com a rede eltrica
de distribuio de energia eltrica permite o alvio de alimentadores de distribuio, reduz as
perdas de distribuio e pode diminuir o pico de demanda de certas instalaes nos momentos
de pico de consumo de energia, onde o custo da energia elevado. Ainda, o proprietrio do
sistema pode vender a energia excedente a preos incentivados e atravs de contratos de longo
prazo (MARTINS et al., 2009).
O fato do sistema fotovoltaico ser conectado diretamente rede eltrica dispensa a
necessidade do uso de armazenadores de energia. Sem esse componente, o custo do sistema
diminui significativamente e permite uma melhoria no desempenho do mesmo, uma vez que
aumenta-se a energia disponibilizada na sada do sistema (BALAGUER et al., 2008).
Inicialmente, o elevado custo da energia advinda dos painis fotovoltaicos pode ser
facilmente absorvido pelos grandes centros urbanos e ainda, a maioria das grandes cidades

34

brasileiras apresenta picos de demanda de energia durante o horrio diurno e no vero, onde a
incidncia de radiao solar intensa (MARTINS et al., 2009). O alto preo da energia
fotovoltaica deve diminuir de modo que os sistemas fotovoltaicos podero se tornar
concorrentes da energia hidroeltrica no Brasil. O custo da energia advinda dos painis
fotovoltaicos se igualaria ao custo da energia provida da rede de energia a partir de 2020 em
algumas regies do pas, caso existam programas de incentivo aplicao desta fonte, com foi
realizado na Alemanha (SALAMONI; RTHER, 2007).
Uma grande vantagem ambiental dos sistemas fotovoltaicos a reduo das emisses
de gs carbnico (CO2) que os mesmos permitem. De acordo com Wolfsegger; Stierstorfer,
2007, em 2030, a taxa de reduo anual de emisses de CO2 devido a utilizao de PVs deve
estar em torno de 1 bilho de toneladas/ano, o equivalente s emisses totais da ndia no ano
de 2004, ou s emisses de 300 usinas termeltricas a carvo. Alm disso, em 2040 previsto
que 28% da energia consumida no mundo venha de painis solares.
Devido necessidade de operao com a rede de distribuio, um sistema inversor
necessrio para converter a energia proveniente dos painis, que contnua, em alternada,
para ser injetada de forma adequada e com ndices de qualidade na rede de distribuio.
Para se realizar a conexo do sistema rede necessrio tomar certas precaues tais
como a previso de ilhamento e sincronizao. O fenmeno de ilhamento para um sistema de
gerao distribuda definido quando o mesmo continua a alimentar as cargas locais na
ausncia da rede de alimentao (BOWER; ROPP, 2002; IEEE SCC21, 2003; CHOE et al.,
2006; BALAGUER et al., 2008; CIOBOTARU et al; 2008). Ou seja, o sistema alm de
alimentar as cargas especificadas para o mesmo, supre energia para as demais cargas
conectadas rede, resultando em problemas operacionais devido incapacidade de gerao
local, dentre outros at mais graves. Embora a probabilidade de ocorrncia deste efeito seja
extremamente baixa, normas que versam sobre a interconexo de sistemas fotovoltaicos
rede requerem mtodos eficazes para detectar o ilhamento, tais como IEEE 929-2000, IEEE
1547 e UL1741 (CIOBOTARU et al., 2008). A norma IEEE 1547 (IEEE SCC21, 2003)
especifica as caractersticas de operao, segurana, testes e manuteno em sistemas de
gerao distribuda, com capacidade de at 10MVA no PCC (ponto comum de acoplamento
de cargas), interligados ao sistema de potncia no nvel de tenso primria ou secundria,
incluindo os seguintes captulos:

35

 Requisitos Gerais
 Qualidade de Energia
 Ilhamento
 Resposta s Condies Anormais de Operao
 Especificaes de Testes e Requisitos de Projeto
 Avaliao da Instalao
 Testes Rotineiros Peridicos
Durante o ilhamento problemas de segurana, qualidade de energia e confiabilidade
podem ocorrer (BOWER; ROPP, 2002; BALAGUER et al., 2008). A rede de energia no
consegue mais controlar a tenso e a frequncia durante o ilhamento, criando a possibilidade
de danificar equipamentos dos consumidores em uma situao onde a rede no tem mais o
controle. Este efeito pode criar uma situao de muito perigo para operrios de linhas de
distribuio ou at mesmo para pessoas comuns, uma vez que uma parte da rede de
distribuio continua energizada mesmo desconectada da rede principal. Ainda, a reconexo
da rede durante um ilhamento pode danificar equipamentos e at o sistema de gerao
distribuda, por causa de uma conexo fora de fase. Alm disso, o ilhamento pode interferir
com a restaurao do servio pela rede (BOWER; ROPP, 2002).
Em virtude da necessidade clara da deteco de ilhamento pelo sistema de gerao
distribudo baseado em painis fotovoltaicos, diversos mtodos de deteco de ilhamento tm
sido propostos na literatura (BOWER; ROPP, 2002; DE MANGO et al., 2006; ROPP et al.,
2006; BALAGUER et al., 2008). Eles so divididos, basicamente, em mtodos passivos e
ativos residentes no inversor, ativo residente na rede e baseados em comunicao entre a rede
e o inversor (BOWER; ROPP, 2002).
Os mtodos ditos passivos se baseiam na deteco de uma anormalidade na amplitude,
frequncia ou fase da tenso no ponto de acoplamento comum entre o inversor e a rede
quando em ilhamento. Desta forma, cessam a converso de energia quando ocorrem
mudanas a partir de condies normais especificadas (BOWER; ROPP, 2002; BALAGUER
et al., 2008). Os mtodos passivos mais utilizados so os mtodos de deteco de
anormalidades na tenso e na frequncia da rede, deslocamento de fase e de deteco de
harmnicos de tenso. Um esboo de um sistema PV conectado rede apresentado na
Figura 2.

36

Figura 2 - Esboo de um sistema PV conectado rede.

Carga

PCarga+jQCarga

Fonte: Prprio autor.

O mtodo de deteco de sub ou sobretenso, bem como os de deteco de sub ou


sobrefrequncia, so protees inerentes que o sistema inversor dos painis fotovoltaicos
possui, onde o mesmo cessa o fornecimento de energia rede caso a amplitude ou frequncia
da rede esteja fora dos limites especificados. Esses mtodos de proteo servem como
mtodos de deteco de ilhamento uma vez que a tenso ou frequncia se alterar se houver
diferena entre a potncia de sada do inversor e a potncia consumida pela carga. Este
mtodo simples de ser implementado e ainda necessrio como proteo em qualquer
sistema deste tipo; alm disso, os outros mtodos de deteco de ilhamento se baseiam nestes
princpios. Contudo, se a potncia consumida pela carga aproximadamente a potncia
gerada pelo sistema PV, quando a rede for desconectada, no haver alteraes na tenso no
PCC e com isto, o ilhamento no ser detectado (BOWER; ROPP, 2002; DE MANGO et al.,
2006; BALAGUER et al., 2008; CIOBOTARU et al., 2008). Para avaliar a eficcia de cada
mtodo para deteco de ilhamento pode-se lanar mo da avaliao das zonas de no
deteco (NDZ Non detection zones), que podem ser compostos pela energia que a carga
recebe ou injeta na rede (DE MANGO et al., 2006; CIOBOTARU et al., 2008). Este mtodo
possui uma NDZ elevada e ainda considerado insuficiente. Um exemplo de NDZ
apresentado na Figura 3.

37

Figura 3 - Exemplo de zona de no deteco (NDZ) para os mtodos de sub e sobretenso e sub e
sobrefrequncia.

Fonte: Bower e Ropp (2002).

Na Figura 3, os eixos x e y representam as variaes de potncias ativa e reativas em


relao s potncias nominais do sistema, que quando alteradas no acarretam em mudanas
significativas nos parmetros da tenso na carga local, o que, consequentemente, leva
ineficcia na deteco do efeito de ilhamento.
J o mtodo de deslocamento de fase monitora a fase entre a tenso de sada do
inversor e sua corrente de sada para verificar mudanas bruscas. Essa mudana brusca indica
que a tenso nos terminais do inversor no mais sustentada e foi alterada em fase para
corresponder a fase da carga local. Se o erro de fase maior do que um determinado valor o
controle desliga o inversor. Este mtodo requer algoritmos simples, bastando alterar o circuito
PLL (detector de fase) para desenergizar o inversor quando se estabelecer o erro de fase. Este
mtodo no altera a qualidade no fornecimento de energia, no impacta a resposta transitria
do sistema; contudo extremamente complexo saber o valor de erro exato para detectar o
ilhamento sem causar problemas de chaveamentos indesejveis na rede e, alm disso, este
mtodo possui grande NDZ (BOWER; ROPP, 2002; DE MANGO et al., 2006; BALAGUER
et al., 2008).
Em relao ao mtodo de deteco de harmnicos, este baseado no monitoramento
da distoro harmnica total (DHT) da tenso no PCC e desliga o inversor quando esta DHT
excede um valor pr-estabelecido. Na situao de ilhamento, as harmnicas de corrente
produzidas pelo inversor fluiro para a carga, a qual possui uma impedncia muito maior do
que a da rede fazendo com que a DHT da tenso no PCC se eleve, sendo fcil de detectar a
DHT de tenso no inversor. Entretanto, difcil saber o ponto ideal para prover a proteo
contra o ilhamento, no possvel o seu uso com mltiplos inversores e ainda, no h
deteco quando a potncia da carga se iguala a potncia do inversor e quando a carga
predominantemente linear (BOWER; ROPP, 2002; JANG; KIM, 2004; DE MANGO et al.,
2006; BALAGUER et al., 2008).

38

Os mtodos ativos residentes no inversor foram propostos com o intuito de diminuir a


NDZ que os mtodos passivos apresentam. Estes introduzem certos distrbios e monitoram a
resposta para determinar se a rede, com sua frequncia, tenso e impedncias estveis, ainda
est conectada. Se esta reduzida perturbao capaz de afetar os parmetros da tenso no
PCC, o circuito ativo desliga o inversor (DE MANGO et al., 2006; CIOBOTARU et al.,
2008). A seguir so apresentados alguns mtodos ativos para deteco de ilhamento que mais
se destacam.
O mtodo ativo da medio de impedncia procura detectar mudanas na impedncia
de sada do inversor que ocorre quando a rede de distribuio de baixa impedncia
desconectada. A medio da impedncia de carga realizada atravs do monitoramento da
derivada da tenso em relao derivada de corrente (dv/di). Neste mtodo, podem ser
acoplados diversos inversores desde que sincronizados; todavia, este mtodo impraticvel,
j que uma determinada impedncia mnima deve ser considerada, onde abaixo desse valor
considera-se que a rede esteja sempre conectada (BOWER; ROPP, 2002; BALAGUER et al.,
2008).
J o mtodo de medio de impedncia em uma frequncia especfica, ou mtodo do
sinal injetado, torna-se mais eficaz que o mtodo anterior, uma vez que introduz na rede uma
corrente harmnica em uma frequncia especfica diferente da frequncia de rede, e quando a
rede desconectada, essa frequncia pode ser observada na tenso no PCC. Caso a potncia
do inversor seja igual a da carga no ocorre NDZ, mas mltiplos inversores podem causar
interferncias e falsas desconexes; alm disso, difcil determinar o nvel mnimo de
impedncia para a situao de ilhamento, resultando em desligamentos e religamentos do
inversor (BOWER; ROPP, 2002; TIMBUS et al., 2004; BALAGUER et al., 2008).
Outro mtodo ativo, denominado Slip-Mode Frequency Shift (SMS) usa realimentao
positiva para desestabilizar o sistema PV quando a rede no est presente. A fase entre a
corrente e tenso de sada do inversor no controlada para ser sempre nula, mas sim uma
funo da frequncia da tenso no PCC. Este mtodo possui pequena NDZ, apresenta boa
eficincia com mltiplos inversores, e uma pequena modificao no PLL requerida.
Contudo, podem ocorrer problemas pelo alto ganho da malha de realimentao, o que deixa o
inversor com problemas de transitrios e de qualidade de energia. Ademais, podem surgir
problemas com cargas de alto fator de qualidade e com frequncia de ressonncia perto da
frequncia de rede (BOWER; ROPP, 2002; TIMBUS et al., 2004; LOPES; HUILI, 2006;
BALAGUER et al., 2008).

39

O mtodo ativo Active Frequency Drift (AFD) introduz distores na forma de onda
de corrente injetada na rede a qual tende a acelerar ou desacelerar a frequncia da tenso na
ausncia de rede. H uma limitao no tamanho do deslocamento em frequncia imposto para
manter a DHT em nvel menor do que o especificado. Na aproximao mais comum, injeta-se
uma corrente com frequncia um pouco maior do que a frequncia de rede, onde os
cruzamentos em zero da corrente so estendidos para que as frequncias coincidam, vide
Figura 4. Caso haja falta de rede, a frequncia da tenso tende a seguir a frequncia da
corrente e o deslocamento de frequncia detectado. Este mtodo apresenta uma degradao
da qualidade da potncia de sada do inversor e aumento das emisses eletromagnticas
devido distoro na forma de onda de corrente. Podem ser usados mltiplos inversores
desde que sincronizados, mas este mtodo no se mostra muito eficaz na deteco de
ilhamento (BOWER; ROPP, 2002; DE MANGO et al., 2006; LOPES; HUILI, 2006;
BALAGUER et al., 2008).
Figura 4 - Extenso do zero de corrente usado no mtodo AFD.

Fonte: Bower e Ropp (2002).

Outro mtodo ativo, Sandia Frequency Shift (SFS), uma extenso do AFD e se
utiliza realimentao positiva na sua implementao, onde o tempo de corrente nula no
mais fixo e sim uma funo do erro na frequncia de rede. O tempo de corrente nula aumenta
ou diminui proporcionalmente ao erro na frequncia determinada na rede. Com essa melhoria,
reduz-se o NDZ, mas ainda o problema de qualidade de energia evidente devido distoro
na forma de onda de corrente. A vantagem deste mtodo, como tambm do AFD verificar a
frequncia no PCC, uma vez que na presena da rede, este parmetro difcil de ser alterado
(BOWER; ROPP, 2002; JOHN et al., 2004; DE MANGO et al., 2006; BALAGUER et al.,
2008).
O mtodo ativo denominado Sandia Voltage Shift (SVS) tambm uma extenso do
mtodo AFD onde se procura verificar alteraes na amplitude da tenso no PCC. Este
mtodo tambm apresenta reduo da qualidade de energia e apresenta reduo na potncia

40

do inversor durante alguns instantes, saindo do ponto de mxima potncia, j que tenta reduzir
a tenso no PCC ao injetar menos potncia. A sua eficincia aumentada quando
implementado em conjunto com o mtodo SFS (BOWER; ROPP, 2002; DE MANGO et al.,
2006; XIAOYU et al., 2007; BALAGUER et al., 2008).
Outro mtodo ativo, Frequency Jump, insere zonas mortas na corrente de sada, no
em todo ciclo, onde a frequncia da corrente alterada de acordo com um padro prestabelecido. Na ausncia de rede a tenso apresentar este padro. Quanto mais sofisticado
este padro for mais efetivo ser o sistema para a deteco do ilhamento, isto para o caso de
um nico sistema PV, para o caso de mltiplos sistemas necessrio sincronismo (BOWER;
ROPP, 2002; DE MANGO et al., 2006; BALAGUER et al., 2008).
Ainda em relao aos mtodos ativos, agora em nvel de rede, este considera a
insero de uma impedncia de baixo valor, usualmente um capacitor, que pode ser o mesmo
utilizado para a correo do fator de deslocamento, instantes aps a rede ter sido
desconectada. A adio desta impedncia torna a deteco do ilhamento bem mais fcil,
minimizando muito a NDZ. Contudo, h um elevado custo na implantao desta tcnica, j
que cada ramo passvel de ilhamento deve conter seu capacitor e ainda conexes para
comunicao (KITAMURA et al. 1994; BOWER; ROPP, 2002; DE MANGO et al., 2006).
A fim de eliminar totalmente a NDZ dos mtodos ativos, so propostos os mtodos
baseados em comunicao entre a rede e o sistema inversor, entretanto, estes mtodos so os
mais dispendiosos economicamente (BOWER; ROPP, 2002).
Um mtodo que usa comunicao o mtodo baseado no envio e recebimento de
sinais de comunicao de baixa energia atravs da rede eltrica; como a linha usada como
canal de comunicao fica fcil testar a sua continuidade. Para compor este mtodo, um
transmissor e receptores devem ser conectados rede, onde o transmissor deve ser conectado
no final da linha de rede e os receptores no final da rede do usurio. Este mtodo no
apresenta NDZ, no altera a qualidade de energia no fornecimento, suporta a adio de vrios
sistemas PV, porm seu custo elevado (BOWER; ROPP, 2002; ROPP et al., 2006;
BALAGUER et al., 2008).
Um outro mtodo baseado em comunicao obtido pelo sinal produzido por
desconexo da rede, onde a rede de distribuio no utilizada. A chave seccionadora da
linha de distribuio dotada de um pequeno transmissor que envia para o sistema de gerao
distribudo um sinal de desconexo. Podem ser usadas redes de telefonia, sinal de
microondas; entretanto, este sinal deve ser contnuo a fim de no influenciar em falsos
desligamentos. Este mtodo auxilia na coordenao de dispositivos na rede e tambm na

41

energizao da linha. O custo deste mtodo elevado uma vez que pode ser necessrio a
insero de diversos repetidores de sinal e transmissores devem ser inseridos em todas as
chaves (srie ou paralela) que possam levar a possveis ilhamentos (BOWER; ROPP, 2002;
BALAGUER et al., 2008).
Dentre os mtodos apresentados, os mtodos ativos residentes no inversor, podem ser
considerados uma boa opo na deteco do efeito de ilhamento, uma vez que os mtodos
baseados em comunicao so excessivamente dispendiosos, enquanto que os mtodos
passivos apresentam grandes zonas de no deteco. necessrio salientar que nenhum
mtodo trabalhar de forma plena para quaisquer sistemas e sob quaisquer situaes e a
escolha de um mtodo depender das caractersticas do sistema. Dessa forma, tambm
vlida a combinao de tcnicas ativas com tcnicas passivas, ditas hibridas, onde a tcnica
passiva detecta ilhamento quando ocorrem grandes mudanas nos parmetros do sistema, e, a
ativa inicializada apenas quando as mudanas nos parmetros do sistema so pequenos para
serem detectados com as tcnicas passivas. Contudo, a associao destas tcnicas aumentam o
tempo para a deteco do ilhamento (MAHAT et al., 2008).
Obviamente, considerando-se a reduzida capacidade de gerao dos sistemas
distribudos, quando comparados com os sistemas de gerao e distribuio em corrente
alternada (CA) convencionais, deve-se observar determinadas condies para seu
acoplamento rede em CA, considerando-se a reduo de transitrios e problemas
operacionais resultantes. As seguintes condies devem ser observadas para o sincronismo instante da conexo - com sistemas monofsicos e trifsicos em CA:
 As magnitudes das tenses (rede e GDEE) devem ser iguais;
 As frequncias das tenses (rede e GDEE) devem ser as mesmas;
 A defasagem entre as tenses (rede e GDEE) deve ser nula.
Para realizar o sincronismo com a rede de CA podem ser usadas tcnicas baseadas em
algoritmos PLL (Phase-Locked Loop), DFT (Discrete Fourier Transform) e mtodos
baseados nos Filtros de Kalman (HSIEH; HUNG, 1996; MCGRATH et al., 2005; CARDOSO
et al., 2006; CHOI et al., 2006; PDUA et al., 2007 a, 2007 b; SANTOS FILHO et al., 2008).
Os algoritmos PLL podem ser considerados como dispositivos que fazem com que um
sinal acompanhe outro; mantendo um sinal de sada em sincronizao com um sinal de
entrada de referncia em frequncia bem como em fase. Isto realizado de tal forma que o
erro de fase entre a referncia e o sinal de sada se reduza a um erro mnimo. Apesar das

42

diferenas encontradas nos algoritmos PLL, estes so derivados de uma estrutura padro, a
qual pode ser dividida em trs sees principais, que so o detector de fase, o filtro passabaixa e o oscilador controlado em tenso (Figura 5); sendo este ltimo responsvel pelo sinal
que ser comparado com o sinal de entrada no detector de fase. As principais diferenas
encontradas esto concentradas no detector de fase, que geralmente no linear. Dependendo
do sistema e do tipo de controle usados, para a utilizao do PLL necessrio o emprego de
outro algoritmo para o clculo da amplitude da componente fundamental, devendo este, ser
robusto o suficiente para lidar com tenses distorcidas. Os ganhos do controlador PI, inerente
sua estrutura, so diretamente relacionadas resposta dinmica e eficcia do sistema; desta
forma, necessria certa precauo para se obter boa filtragem e resposta rpida (HSIEH;
HUNG, 1996; CARDOSO et al., 2006; SANTOS FILHO et al., 2008).
Figura 5 - Diagrama bsico do PLL.

Fonte: Bower e Ropp (2002).

A DFT uma tcnica de filtragem digital que normalmente utilizada para calcular o
espectro de um sinal. Entretanto, uma ao de filtragem pode ser utilizada extraindo do sinal
apenas a componente na frequncia desejada, usando uma formulao recursiva para o
algoritmo da DFT. Para a utilizao desta tcnica necessrio utilizar uma taxa de
amostragem proporcional frequncia fundamental da rede, ou alterar a janela para o clculo
correto da DFT. Isto pode ser obtido corrigindo a janela de amostragem para contemplar o
perodo de rede ou adicionar um ganho de fase para cancelar o erro de fase produzido pela
DFT recursiva, compensando o erro de fase em todo o ciclo de rede. Os algoritmos de DFT
apresentam reduzidos tempos para convergncia e uma excelente caracterstica de filtragem;
entretanto, as dinmicas da estimao de frequncia no so suaves, uma vez que so
atualizadas todo o ciclo (MACGRATH et al., 2007 a; PDUA et al., 2007 a, 2007 b).
Em relao ao filtro de Kalman, este baseado no mtodo dos mnimos quadrados
onde a modelagem do sistema realizada via variveis de estado. Este filtro estima o estado
do sistema interpretando-o como um processo estocstico, com conseqente tratamento
estatstico. Uma vantagem deste mtodo a sua habilidade em lidar com sistemas lineares
corrompidos por incertezas e prover excelentes estimativas para o regime permanente, alm

43

de poder lidar com sinais contendo harmnicos e rudos de medies; alm disto, nesta
tcnica no necessrio adicionar detectores de amplitude ou realizar normalizaes nas
medies da tenso. Entretanto, a dinmica de clculo da frequncia no suave e seu
desempenho pode ser deteriorado se os distrbios nos sinais de entrada aumentarem
significativamente (CARDOSO et al., 2006; PDUA et al., 2007 b).
Em relao aos mtodos descritos, os algoritmos recursivos para clculo da DFT so
timas opes quando h preocupaes com relao ao tempo de convergncia e capacidade
de filtragem do mtodo; j os algoritmos PLL so recomendados quando a estimao de
frequncia necessria em cada passo discreto ou quando se necessita de uma maior
simplicidade na implementao; a importncia dos algoritmos baseados nos filtros de Kalman
so justificados j que no necessitam de nenhuma tcnica complexa adicional para identificar
amplitude, frequncia ou fase da tenso de rede. Estes algoritmos se apresentam como
interessantes alternativas para a realizao de sincronismo com a rede de CA (CARDOSO et
al., 2006).
H situaes em que o dispositivo fornecedor de energia eltrica no atende as
especificidades dos aparelhos eltrico-eletrnicos em corrente alternada, como o caso do
painel fotovoltaico e da clula a combustvel (FC - Fuel Cell), que fornecem uma tenso
contnua em seus terminais de sada. Alm disso, tipicamente, a tenso eficaz para diversas
aplicaes residenciais/comerciais apresenta uma amplitude muito maior do que a tenso
mdia de sada das fontes alternativas tipo PV e FC.
Para superar este problema, uma tcnica muito utilizada a de associar um conversor
CC-CC elevador com um inversor fonte de tenso (VSI) (WALKER; SERNIA, 2004). A
grande utilizao do VSI devido simplicidade de projeto e implementao, uma vez que
este conversor inerentemente estvel, apresentando comportamento similar ao conversor
Buck (VILLALVA; FILHO, 2008).
Por outro lado, a associao em cascata do conversor elevador com o inversor
apresenta um rendimento menor do que aqueles das estruturas individuais, devido
multiplicao dos rendimentos de cada estgio (KJAER et al., 2005), alm de elevar peso,
volume e custo. Uma alternativa seria o uso de uma estrutura integrada (elevador+inversor)
que alm de oferecer um rendimento maior, emprega menor quantidade de componentes,
reduzindo custos e aumentando a densidade de potncia da estrutura, aumentando a eficincia
do sistema de gerao distribudo - GDEE (JAIN; AGARWAL, 2007(b)).

44

Desta forma, a presente pesquisa ter como base o estudo das topologias de conversores
integrados, sendo eles monofsicos e trifsicos, com conexo rede de distribuio de energia
eltrica em baixa tenso, considerando tcnicas de anti-ilhamento, sincronizao e de MPPT.
O presente trabalho apresenta no captulo 2 a avaliao dos principais mtodos de MPPT
evidenciados na literatura, considerando modelos em ambiente MatLab/Simulink e a
implementao em laboratrio dos melhores mtodos, os quais foram resumidos na
introduo geral. No captulo 3 so apresentadas as simulaes dos principais algoritmos de
anti-ilhamento considerando o caso mais crtico definido pelas normas IEEE e UL, que
considera a alimentao de uma carga ressonante RLC no ponto de acoplamento de carga
(PCC). No captulo 4 apresentado um estudo das principais topologias monofsicas e uma
descrio das topologias trifsicas para aplicao com os painis fotovoltaicos, assim como os
requisitos necessrios que o conversor fotovoltaico deve apresentar. Neste captulo
apresentada a integrao de estgios e alguns dos inversores integrados obtidos. Alm disso,
so apresentados os principais resultados da implementao em laboratrio de alguns
inversores integrados para demonstrar a viabilidade da presente pesquisa. No captulo 5
apresenta-se o projeto completo dos inversores integrados Trifsicos Boost e Buck-Boost TriState, com foco na anlise experimental do inversor integrado Trifsico Buck-Boost Tri-State
alimentando tanto cargas locais quanto injetando potncia ativa na rede de distribuio de
energia eltrica em CA. J no captulo 6 so apresentadas as principais concluses e sugestes
para a continuidade da pesquisa.

45

Captulo 2
Avaliao dos Principais Mtodos de MPPT

Neste captulo sero avaliados os principais algoritmos de MPPT evidenciados na


literatura (DESAI; PATEL, 2007; ESRAM; CHAPMAN, 2007; JAIN; AGARWAL, 2007 a;
PANDEY et al., 2007; FARANDA et al., 2008; LAIRD et al., 2008; JAEN et al., 2008),
atravs de modelos em ambiente MatLab/Simulink, utilizando um conversor Boost CC-CC,
Figura 6, para representar uma carga varivel e controlada. realizada a modelagem do
painel fotovoltaico e apresentado o modelo do conversor CC-CC a partir de seu modelo em
espao de estados mdio. So apresentadas comparaes entre os diversos algoritmos no que
se diz respeito quantidade de sensores, dificuldade de implementao, quantidade de energia
extrada e suas respostas dinmicas, levando escolha das melhores alternativas para a
obteno de MPP dos painis fotovoltaicos. Ademais, um conversor Boost CC-CC controlado
digitalmente por um dispositivo dSPACE ACE1104 foi implementado para verificar
experimentalmente os melhores mtodos.
Figura 6 - Conversor Boost CC-CC.

LB

DB

SB

CB

RL

Fonte: Prprio autor.

2.1 Modelo Matemtico do Painel Fotovoltaico


O circuito equivalente de uma clula fotovoltaica apresentado na Figura 7, onde o
modelo mais simples pode ser representado por uma fonte de corrente em anti-paralelo com
um diodo, e, as no idealidades so representadas pelas inseres das resistncias srie (Rs) e
paralela (Rp) (CASARO; MARTINS, 2008).

46

Figura 7 - Circuito eltrico equivalente de uma clula fotovoltaica.

Fonte: Casaro e Martins (2008).

A obteno de um modelo de simulao parte do equacionamento bsico representado


pela equao (2), onde o desenvolvimento e posterior programao do modelo foram
baseados no trabalho apresentado em Casaro e Martins, 2008.

q (V + I Rs ) k T V + I Rs
I = I ph I r e
1
Rp

(2)

Onde:
V, I

Tenso e corrente nos terminais de sada da clula fotovoltaica.

Iph

Fotocorrente;

Ir

Corrente de saturao reversa da clula;

Rs, Rp

Resistncia srie e paralela da clula;

Carga do eltron, 1,6x10-19 C;

Fator de qualidade da juno p-n;

Constante de Boltzmann, 1,38x10-23 J/K;

Temperatura ambiente, K.

Os parmetros Iph e Ir so dependentes da corrente de curto-circuito por clula (Isc) e de


seu coeficiente de temperatura (T), da temperatura de referncia (T - 298K), intensidade de
irradiao solar (Psun), corrente de saturao reversa de referncia (Irr), energia de banda
proibida (1,1eV), e, so dadas pelas equaes de nmero (3) e (4).
P
I ph = I sc + T (T Tr ) . sun
1000
3

q . EG 1

(3)

T .
I r = I rr e k Tr T
Tr

(4)

A obteno do parmetro Irr feita sob condies de teste padro, conhecido como
Standard Test Conditions (STC), onde Psun=1kW/m2 e Tr = 298K, e, ainda sabe-se que quando

47

a corrente do painel nula I=0, a tenso de sada a tenso de circuito aberto (Voc). Desta
forma, a corrente Irr dada por (5).

I sc
I rr =
e

Voc
Rp

q.Voc

k .Tr

(5)

A equao (2) pode ser modificada de forma a apresentar uma raiz nula quando a
corrente I se tornar a corrente real do circuito, sendo agora, a equao (6) uma funo da
prpria corrente.

q (V + I Rs ) k T V + I Rs
f ( I ) = I ph I I r e
1
Rp

(6)

A corrente I, com valor inicial nulo, utilizado em um processo iterativo que


aproxima a equao (6) de sua raz, sendo obtido atravs do mtodo de Newton-Rhapson, o
qual busca o zero da funo diferencivel atravs do clculo da tangente em um ponto e sua
posterior interseco ao eixo das abscissas, sendo este ponto de interseo o novo ponto de
partida para a nova iterao. O mtodo de Newton, na forma matemtica, apresentado em
(7), onde n indica a n-sima iterao do algoritmo e f'(xn) a derivada da funo f em xn.

xn +1 = xn

f ( xn )
f '( xn )

(7)

Desta forma, a derivada de (6) dada por (8):

q (V + I Rs ) k T q Rs
Rs
f '( I ) = 1 I r e
. k T R

(8)

De posse do equacionamento apresentado, foi criado um modelo de simulao no


ambiente MatLab/Simulink. A Figura 8 mostra como foi utilizado o bloco para a aplicao
do painel como fonte de tenso, onde um integrador e um bloco de ganho foram utilizados
para representar a capacitncia que armazena a corrente injetada pelo painel. O simulador
apresenta como entradas a irradiao solar e a temperatura.

48

Figura 8 - Modelo de simulao em ambiente MatLab/Simulink para o painel fotovoltaico.

Vpv

1000

Psun PV_Array

Ipv

1
s

-KPot Sol

25
Temp

Add

Embedded
MATLAB Function

Gain

Integrator

Vpv
Vpv

1
I_referncia do painel

Fonte: Prprio autor.

2.2 Modelo do Conversor Boost via Espao de Estados


Optou-se por simular o conversor na forma de equaes por espao de estados, em
virtude de uma maior rapidez para o processamento. As variveis de estado escolhidas, para a
montagem do modelo, so a corrente no indutor boost (LB) e a tenso sobre o capacitor de
sada (CB).
O conversor considerado operando no modo de conduo contnua, no so
considerados parmetros intrnsecos dos componentes e os interruptores so considerados
ideais. Para as duas etapas de funcionamento, so calculadas a tenso no capacitor de sada e a
corrente no indutor de entrada. Cria-se uma funo de chaveamento fq(t), que assume dois
valores distintos, ora zero (0) quando o transistor est bloqueado e um (1) quando em
conduo. O valor mdio de fq(t) sobre um perodo de comutao denominado de razo
cclica (D).
Para a primeira etapa de funcionamento, a tenso no indutor e a corrente no capacitor
so dadas por (9) e (10) (ERICKSON; MAKSIMOVIC, 2001; RASHID, 2001).

V (t ) =

LB dI LB (t )
dI (t ) V (t )
LB = in
dt
dt
LB

IC (t ) = CB

dVCB (t )
dV (t )
V (t )
C B = CB
dt
dt
RL .CB

(9)

(10)

Para a segunda etapa de funcionamento, obtm-se (11) e (12) (ERICKSON;


MAKSIMOVIC, 2001; RASHID, 2001).

V (t ) =

LB dI LB (t )
dI (t ) V (t ) VCB (t )
LB = in
dt
dt
LB

IC (t ) = CB

dVCB (t )
dV (t ) I (t ) V (t )
C B = LB CB
dt
dt
CB
RL .CB

(11)

(12)

49

Somando-se a equao (9) com a equao (11), a equao (10) com a equao (12) e
inserindo a funo fq(t), obtm-se as equaes de nmeros (13) e (14).
V (t ) VCB (t )
dI LB (t ) Vin (t )
=
fq (t ) + in
(1 fq (t ) )
dt
LB
LB

(13)

I (t ) VCB (t )
dVCB (t ) VCB (t )
=
fq (t ) L

(1 fq (t ) )
dt
R L .C B
R L .C B
CB

(14)

Simplificando as expresses (13) e (14), obtm-se as expresses (15) e (16).

dI LB (t ) 1
=
[Vin (t ) (VCB (t ))(1 fq(t ))]
dt
LB

(15)

dVCB (t )
VCB (t )
1
=
. 1 fq (t ) ) +
(I L (t ) )(

dt
CB
RL

(16)

A tenso de sada a mesma do capacitor, assim tem-se a equao (17).

Vout (t ) = VCB (t )

(17)

De posse das equaes de nmeros (14) (17) pde-se desenvolver o modelo em


espao de estados mdio para simular o conversor Boost CC-CC no ambiente
MatLab/Simulink, representado pela Figura 9.
Figura 9 - Modelo em espao de estados mdio do conversor Boost.
1
1
Lin
1

u
Math
Function
1
s

Constant1
Product2

Integrator

1
IL

Product1

fq

3
Vin

Product3

1
4
RL

u
Math
Function1

1
s
Product4

Product5

1
5
Cf

u
Math
Function2

Fonte: Prprio Autor.

Integrator1

2
Vout

50

2.3 Modelo dos Algoritmos de MPPT

Assim como o prprio modelo mdio do Conversor Boost, todos os algoritmos de


MPPT so modelados com auxlio da ferramenta computacional Matlab/Simulink. Na
sequncia, estes modelos esto discutidos e apresentados.

2.3.1 Razo Cclica Fixa

A resistncia de carga para a simulao deste mtodo foi ajustada para prover 200
watts de potncia na razo cclica de 0,73; sendo a potncia de 200watts a potncia mxima
do painel.

2.3.2 Tenso Constante

Foi escolhido o valor de 78% da tenso de circuito aberto para simular o mtodo da
tenso constante. O algoritmo deste mtodo uma malha fechada em tenso convencional,
onde o erro entre a tenso de referncia e a tenso mensurada no painel serve de entrada para
um compensador, que assim dita o comportamento da razo cclica do conversor. Este modelo
est representado atravs da Figura 10.

Figura 10 - Modelo do mtodo da Tenso Constante.

Fonte: Prprio Autor.

51

2.3.3 Perturbao e Observao (P&O)


O fluxograma bsico do mtodo apresentado na Figura 11, enquanto que o modelo
MatLab/Simulink est apresentado na Figura 12.

Figura 11 - Fluxograma do mtodo P&O.

Fonte: Jain e Agarwal (2007).

Figura 12 - Modelo implementado em Matlab/Simulink para simulao do mtodo P&O.


2

Vpv

1
Ipv
z-1
z
dP /dt

Product

dP /dV

Sign

1
s
Product 2

Integrator 1

1
Dref

-1.5
z-1

Passo

z
dV/dt

Scope 2

Fonte: Prprio Autor.

52

2.3.4 Condutncia Incremental (IC)


O fluxograma bsico do mtodo IC apresentado na Figura 13; j seu modelo de
simulao implementado no ambiente Matlab/Simulink pode ser visualizado na Figura 14.
Figura 13 - Fluxograma do mtodo da Condutncia Incremental.

Fonte: Jain e Agarwal (2007).


Figura 14 - Modelo implementado para simulao do mtodo IC.
z-1
1
Ipv

z
dI /dt

Sign
2

Vpv

1
s

dI /dV
Product 2

Integrator 1

1
Dref

z-1
z
dV /dt

-2
Passo

I/V

Fonte: Prprio autor.

Como potencial do trabalho, o mtodo IC pode ser implementado considerando a


utilizao de um controlador PI convencional a fim de otimizar a busca do ponto de mxima
potncia. Assim, a malha fechada composta pelo controlador busca igualar a condutncia
incremental a zero, o que ocorre sempre no ponto de mxima potncia. O algoritmo IC com
esta modificao foi denominado de IC baseado em PI.

53

2.3.5 Mtodo Beta


O modelo de simulao do mtodo Beta est apresentado na Figura 15.
Figura 15 - Modelo de simulao do mtodo Beta.

Fonte: Jain e Agarwal (2007).

2.3.6 Oscilao do Sistema

O modelo deste mtodo est apresentado na Figura 16.


Figura 16 - Modelo de simulao do mtodo da Oscilao do Sistema.

Fonte: Jain e Agarwal (2007).

2.3.7 Correlao de Ripple

O modelo de simulao do mtodo da Correlao est apresentado na Figura 17.


Figura 17 - Modelo de simulao do mtodo da Correlao de Ripple.

Fonte: Jain e Agarwal (2007).

54

2.3.8 Mtodo da Temperatura

O modelo de simulao do mtodo da Temperatura est apresentado na Figura 18.


Figura 18 - Modelo de simulao do mtodo da Temperatura.
26 .3
PID

Vmpp
1
Temp

1
Dref

Discrete
PID Controller
Vpv

Product
25
Tref

-0.14
Cte Vmpp

Fonte: Prprio autor.

2.4 Resultados de Simulao

2.4.1 Painel Fotovoltaico


As caractersticas eltricas principais do painel fotovoltaico em estudo esto apresentadas na
Tabela 1.
Potncia Mxima

Tabela 1 - Parmetros eltricos do painel em estudo.


Pmax = 200Wp

Tenso no MPP

VMPP = 26,3V

Corrente no MPP

IMPP = 7,61A

Tenso de Circuito Aberto

Voc= 32,9V

Corrente de Curto-Circuito

Isc = 8,21A

Coeficiente de Temperatura de Isc

= 3,18x10-3A/oC
Fonte: Prprio autor.

Na Figura 19 so apresentados os grficos de potncia versus tenso do painel


fotovoltaico em questo, considerando-se variaes de irradiao solar e temperatura,
respectivamente. As curvas apresentam caractersticas no lineares e so fortemente
influenciadas pelas condies climticas.

55

Figura 19 - Caracterstica de potncia do PV. (a) Para diferentes nveis de irradiao considerando temperatura
fixa de 25C e (b) Sob diferentes nveis de temperatura considerando a irradiao de 1000W/m2.
180

25 C
40 C

150

55 C

120

90

60

30

0
0

(a)

10

15
20
Tenso (V)

25

30

35

(b)
Fonte: Prprio Autor.

2.4.2 Algoritmos de MPPT


Os algoritmos foram implementados em plataforma MatLab/Simulink, e, os
principais resultados de simulao esto apresentados na seqncia. O modelo mdio do
conversor CC-CC boost foi utilizado para simular a variao de carga controlada, de acordo
com o item 2.2, e, foi adicionada uma oscilao no modelo mdio para representar o efeito do
ripple de corrente no indutor. Os parmetros do conversor utilizado esto detalhados na Tabela
2.
Indutncia

Tabela 2 - Parmetros do conversor boost utilizado para simulao.


LB= 2,5mH

Capacitncia

CB = 36F

Frequncia do conversor

fs = 10kHz

Resistncia de Carga

RL= 50
Fonte: Prprio autor.

Todos os ensaios foram feitos considerando as mesmas variaes de temperatura e


incidncia de irradiao com degraus positivos e negativos. Na Figura 20 apresentam-se as
respostas dos melhores algoritmos de MPPT simulados, onde a referncia de potncia
mxima destacada na linha azul (tracejada), e, o grfico em vermelho (contnuo) a
potncia extrada do painel.

56

Figura 20 - Resposta de potncia usando os melhores algoritmos de MPPT.


210

210

180

180

150

150

120

120

90

90

60
30

30

0
210

0
210

180

180

150

150

120

120

90

90

60

30

0
210

0
210

180

180

150

150

120

120

90

90

P&O

60

Correlao

30

30

IC Baseado
em PI

60

Temperatura

30

60

IC
Modificado

60

Beta

3
Tempo(s)

3
Tempo(s)

Fonte: Prprio autor.

Com o objetivo de comparar e adequar apropriadamente cada algoritmo de acordo


com a aplicao torna-se necessrio estipular medidas de desempenho para que possam ser
utilizados critrios de comparao. Alm das medidas tpicas de respostas dinmicas, tambm
h mtricas adicionais que so utilizadas nestes casos. Como a energia transmitida essencial
para a utilizao do PV como fonte de energia, uma medida muito importante o fator de
rastreamento (FR - que trata do percentual de energia disponvel que foi convertida)
(ESRAM; CHAPMAN, 2007; JAIN; AGARWAL, 2007). O ripple de tenso em regime
permanente tambm de vital importncia, pois h um limite de ripple para que o painel
permanea efetivamente no ponto mximo. Outros fatores como a facilidade de
implementao, nmero de sensores e custo tambm so desejveis. A avaliao do
percentual de energia rastreada apresentada na Figura 21.

57

Figura 21 - Fator de rastreamento dos mtodos.


1

0,96

0,94

0,92

Correlao

Oscilao

IC

Beta

Mod P&O

0,84

Temperatura

P&O

0,86

Mod IC

V Cte

0,88

IC Baseado em PI

0,90

D Cte

Fator de Rastreamento (%)

0,98

10

11

Mtodos
Fonte: Prprio autor.

De acordo com os dados da Figura 21 pde-se verificar que os mtodos P&O e IC


modificados, Correlao de Ripple, Temperatura e Beta se destacam, sendo o mtodo Beta o
que consegue extrair a maior quantidade de energia do PV, sendo da ordem de 98,8%,
considerando-se a aplicao da curva de potncia apresentada na Figura 20.
A comparao da ondulao em regime permanente, da potncia extrada, pode ser
verificada na Figura 22, onde os mtodos Beta, IC baseado em PI e Temperatura se destacam,
por terem a menor ondulao em regime permanente, tendo o mtodo Beta a menor
ondulao.

58

Figura 22 - Comparao da ondulao de tenso do painel no MPP.


200,5

200,5

Mtodo P&O

IC Modificado

199,5

199,5

198,5

198,5

197,5
3,300

3,325

3,350
Tempo (s)

3,375

3,400

200,5

197,5
3,300

3,325

3,350
Tempo (s)

3,375

3,400

200,5

Mtodo Beta

Mtodo Correlao

199,5

199,5

198,5

198,5

197,5
3,300

3,325

3,350
Tempo (s)

3,375

3,400

200,5

197,5
3,300

199,5

198,5

198,5

3,350
Tempo (s)

3,375

3,375

3,400

Mtodo Temperatura

199,5

3,325

3,350
Tempo (s)

200,5

Mtodo IC Baseado em PI

197,5
3,300

3,325

3,400

197,5
3,300

3,325

3,350
Tempo (s)

3,375

3,400

Fonte: Prprio autor.

Os mtodos de MPPT tambm devem ser comparados com relao sua resposta
dinmica, ou seja, como se comportam quando a potncia no painel mnima e rapidamente
alterada para a condio nominal. Apenas para teste, o degrau resultante de potncia varia
instantaneamente de 10W para 200W, e, pode ser avaliado atravs da Figura 23.

59

Figura 23 - Comparao da busca do MPP a partir da potncia mnima.


210

210

180

180

Mtodo
P&O

150

150

120

120

90

90

60

60

30

30

0
1,9

2,0

2,1

2,2
2,3
Tempo (s)

2,4

2,5

2,6

0
1,9

Mtodo
Beta
2,0

2,1

(a)
210
180

180

150

150

2,5

2,6

120

120

IC
Modificado

90
60

Mtodo
Correlao

90
60

30

30
2,0

2,1

2,2
2,3
Tempo (s)

2,4

2,5

2,6

0
1,9

2,0

2,1

(c)

2,2
2,3
Tempo (s)

210

180

180

150

150

120

120

90

90

Mtodo
Temperatura

60
30
2,0

2,1

2,4

2,5

2,6

(d)

210

0
1,9

2,4

(b)
210

0
1,9

2,2
2,3
Tempo (s)

2,2
2,3
Tempo (s)

2,4

2,5

IC Baseado
em PI

60
30
2,6

0
1,9

(e)

2,0

2,1

2,2
2,3
Tempo (s)

2,4

2,5

2,6

(f)

Fonte: Prprio autor.

De acordo com os resultados apresentados na Figura 23, se verifica que os mtodos


Beta, IC modificado e IC baseado em PI se destacam, sendo o mtodo IC modificado o que
apresenta menor tempo para alcanar o regime, tempo da ordem de 0,2 s.
Apenas para destacar, os mtodos IC e P&O apresentaram os mesmos ndices de
qualidade, uma vez que se baseiam no mesmo princpio de busca de MPPT, que dP/dV nulo
no MPP (LISERRE et al., 2010).

60

2.4 Resultados Experimentais


O arranjo experimental desenvolvido em laboratrio para testar os algoritmos de
MPPT apresentado na Figura 24. Este arranjo consiste de um conversor Boost CC-CC,
osciloscpio, microcomputador, fontes para emulao de painis fotovoltaicos e do sistema de
controle digital.
Figura 24 - Arranjo experimental para teste dos algoritmos de MPPT.

Fonte: Prprio autor.

Todos os algoritmos de extrao da mxima potncia foram implementados de forma


digital na plataforma dSPACE ACE1104, a qual possui um DSP TMS320F240 dedicado, e os
principais resultados obtidos esto apresentados nesta seo. Os degraus de irradiao solar e
de temperatura so configurados usando emuladores de painis solares da Agilent (E4350B)
atravs do microcomputador. O conversor Boost CC-CC opera com frequncia de
chaveamento em 50kHz enquanto que o sistema de controle possui taxa de amostragem fixa
de 10kHz.
possvel verificar as respostas dinmicas dos mtodos Beta, Tenso Constante, P&O
e IC na Figura 25. De acordo com as respostas dinmicas, estes mtodos apresentaram bom
desempenho. Todos os algoritmos alteraram a potncia terminal do PV em menos de 20ms
quando submetidos a uma mudana instantnea de potncia, isto quando a potncia alterada
variou de 100W para 200W e vice-versa. Apenas o mtodo da Tenso Constante apresentou
uma inicializao ruim, sendo a inicializao do mtodo considerada como o tempo gasto
para atingir a potncia nominal a partir da potncia mnima. Este tempo foi da ordem de 1,6
segundos. Em relao ao mtodo Beta, este apresentou um bom tempo de inicializao, sendo
este da ordem de 0,5 segundos. De acordo com os resultados experimentais, os mtodos P&O
e IC se destacaram com relao a sua inicializao; contudo, as constantes perturbaes em
regime permanente dos mtodos P&O e IC representam perdas adicionais de potncia.

61

Figura 25 - Comportamento dinmico dos algoritmos de MPPT. (a) Degrau negativo (200W-100W); (b) Degrau
positivo (100W-200W); (c) Inicializao (0W-200W). Escalas: Tenso (20V/div); Corrente (5A/div); Potncia
(100W/div) e Tempo: (a) e (b) (20ms/div) e (c) (200ms/div).
Tenso

Tenso

Tenso

Corrente

Corrente
Corrente

Tenso

Corrente
Potncia

Potncia

Potncia

Potncia

(a)

(a)

(b)

(b)

Tenso

Tenso

Corrente

Corrente

Corrente

Tenso

Potncia

Potncia

Potncia

Beta

Vcte
(c)

(c)
Tenso

Tenso

Tenso

Tenso

Corrente
Corrente

Corrente

Corrente
Potncia
Potncia

Potncia

Potncia

(a)

(b)

(a)

(b)
Tenso

Tenso

Corrente

Corrente

Potncia
Potncia

P&O

IC
(c)

(c)

Fonte: Prprio autor usando osciloscpio infiniium.

Dentre os algoritmos avaliados, o mtodo da Correlao de Ripple apresentou o


melhor tempo de inicializao, i.e., tempo da ordem de 50ms para alcanar o regime
permanente a partir do estado nulo. Este comportamento dinmico pode ser verificado atravs
da Figura 26. Este mtodo foi sintonizado para apresentar seu melhor desempenho, e esta
inicializao possvel uma vez que este mtodo pode apresentar desempenho dinmico
muito prximo da frequncia de chaveamento, mas sendo sempre limitada pelos ganhos dos
controladores do conversor.

62

Figura 26 - Inicializao do Mtodo da Correlao. Potncia (100W;div); Tenso (20V/div); Corrente (5A/div) e
Tempo (20ms/div).

Potncia(W)

Tenso(V)

Corrente(A)

Fonte: Prprio autor usando osciloscpio infiniium.

Com o intuito de facilitar a validao experimental e o cmputo do fator de


rastreamento (FR), um sistema de gerenciamento e de aquisio de dados foi implementado
na plataforma C++ Builder. A interface grfica amigvel ao usurio pode ser visualizada na
Figura 27 e na Figura 28. Com este sistema possvel programar os emuladores de painis
solares de forma remota com degraus de irradiao e de temperatura formando curvas ou
perfis de potncia. A possibilidade de programar curvas de potncia de acordo com a
necessidade do usurio facilita os testes dos algoritmos de MPPT para diferentes regies com
diferentes nveis de insolao e de temperatura. A comunicao entre o microcomputador e os
emuladores foi feita com o protocolo GPIB-USB.
Figura 27 - Interface grfica amigvel ao usurio: Ponto de operao fixo.

Fonte: Prprio autor.

63

Figura 28 - Interface grfica amigvel ao usurio: Perfis de potncia variveis.

Fonte: Prprio autor.

A avaliao da potncia extrada do painel pode ser observada na Figura 29, para os
mtodos analisados, onde PMAX representa a mxima potncia disponvel e PMPPT
representa a energia que foi extrada pelo algoritmo de MPPT. Um perfil de insolao dirio
tpico foi aplicado como mostrado na Figura 30 e na Figura 31, e uma boa resposta a este
perfil representa um maior ganho com relao ao estudo da capacidade de extrao de energia
frente a condies reais. A idia foi simular as caractersticas dirias entre os horrios das 6 da
manh s 6 da tarde. Finalmente, a Tabela 3 resume as principais caractersticas de cada
mtodo de MPPT avaliado. Durante os testes experimentais, o algoritmo P&O tambm foi
implementado utilizando um controlador digital PI desenvolvendo o algoritmo P&O baseado
em PI. Como potencial do trabalho e de forma anloga ao IC baseado em PI, o algoritmo
P&O baseado em PI apresenta resultados mais interessantes com relao ao maior fator de
rastreamento e reduzidas oscilaes em regime permanente. Desta forma, este algoritmo
tambm foi submetido ao mesmo perfil de irradiao e os resultados podem ser verificados
pela Figura 30 e pela Figura 31. O maior fator de rastreamento pode ser explicado uma vez
que o controlador digital utiliza passos de incremento para a razo cclica maiores quando o
PV est longe do MPP, e quando atingido, estes passos so reduzidos minimizando as
perdas e oscilaes em regime permanente, sendo, portanto, um mtodo adaptativo.

64

Figura 29 - Energia extrada utilizando os mtodos Vcte, P&O e Beta e IC baseado em PI.

Fonte: Prprio autor.

65

Figura 30 - Energia extrada aplicando perfil de potncia similar ao dirio.

Fonte: Prprio autor.


Figura 31 - Energia extrada aplicando perfil de potncia similar ao dirio e aquisio usando o osciloscpio.
Formas de onda da direita supe uso de rastreador solar.

Beta

Beta
Potncia(W)

100W/div

TensoV)

Corrente(A)

10ms/div

Potncia(W)

20V/div

TensoV)

5V/div

Corrente(A)

100W/div

20V/div

10ms/div

P&O baseado
em PI

P&O baseado
em PI
100W/div

Potncia(W)

TensoV)

Corrente(A)

10ms/div

100W/div

Potncia(W)

20V/div

TensoV)

5V/div

Corrente(A)

20V/div

10ms/div

10ms/div

5V/div

Correlao

Correlao
100W/div

5V/div

100W/div

Potncia(W)

20V/div

TensoV)

5V/div

Corrente(A)

20V/div

10ms/div

Fonte: Prprio autor usando osciloscpio infiniium.

5V/div

66

Tabela 3 - Principais caractersticas dos algoritmos de MPPT.

Dependente do

Fator de

Tipo de PV

Rastreamento

Dcte

No

Vcte

Mtodos

Implementao

Eficaz

Sensores

Ruim

Muito Simples

No

Sim

Razovel

Simples

No

P&O

No

Bom

Simples

Sim

VeI

IC

No

Bom

Mdio

Sim

VeI

P&O Modificado

No

Muito Bom

Complexo

Sim

VeI

No

Excelente

Mdio

Sim

VeI

IC Modificado

No

Muito Bom

Complexo

Sim

VeI

IC baseado em PI

No

Excelente

Mdio

Sim

VeI

Beta

Sim

Excelente

Mdio

Sim

VeI

Sim

Razovel

Complexo

No

No

Bom

Simples

Sim

VeI

Sim

Excelente

Simples

Sim

P&O baseado em
PI

Oscilao do
Sistema
Correlao de
Ripple
Temperatura

Fonte: Prprio autor.

Ve
Temperatura

67

2.5 Sistemas com Sombreamento Parcial


A maioria dos mtodos acima citados apresentam ndices de qualidade muito bons
para todos os aspectos avaliados sendo muito teis para o rastreamento da mxima potncia
dos painis fotovoltaicos. Seus desempenhos so garantidos para sistemas com microinversores (nico PV) ou para sistemas baseados em associaes srie de poucos mdulos
PVs (prximos e com a mesma inclinao para o sol) localizados em lugares onde as
condies ambientais so uniformes a maioria do tempo; o que ocorre em pases tropicais,
como exemplo. Entretanto, esta no uma regra para todas as regies e tambm para a
conexo srie-paralela de vrios mdulos PVs. Ento, estes algoritmos devem ser atualizados
para rastrear o MPP global ao invs de seu mximo local para o caso de sistemas com
sombreamento (ALONSO et al., 2009; CHIN et al., 2009; KAZA, et al., 2009;
KOUTROULIS; BLAABJERG, 2012). Isto pode ser realizado adicionando um primeiro
estgio antes de se iniciar o algoritmo apropriado. Dentre as idias mais utilizadas o
escaneamento ponto a ponto da curva do PV uma boa opo e depende apenas do passo de
incremento do algoritmo, mas totalmente independente do tipo/fornecedor do PV. A tenso
do PV alterada, como exemplo, a partir da tenso de circuito aberto at uma porcentagem
desta e a tenso para onde ocorre o mximo global armazenada em memria. Depois deste
ponto ser encontrado, o algoritmo propriamente dito passa a realizar a busca constante do
MPP. Para reduzir a quantidade de passos para encontrar o verdadeiro MPP um conversor
controlado em corrente pode ser utilizado para regular a potncia do PV (KOUTROULIS;
BLAABJERG, 2012), ou o mximo local encontrado primeiro e em seguida perturbaes
tanto direita quanto esquerda so aplicados constantemente at se encontrar o mximo
global (RAZA et al., 2009). A busca constante do mximo global tem que ser realizada a fim
de que o algoritmo no perca a referncia do ponto timo quando de mudanas das condies
ambientais. Intervalos de tempo tpicos para esta busca situam-se na casa dos 10 15 minutos.
A reduo do fator de rastreamento durante a busca do mximo global insignificante em
comparao com a energia adicional que rastreada, isto para os casos onde existe o
sombreamento constante dos painis fotovoltaicos (KOUTROULIS; BLAABJERG, 2012).

68

2.6 Concluses

Atualmente o uso da energia proveniente dos painis fotovoltaicos uma realidade, e,


seu uso se tornar muito importante na busca de solues para os problemas energticos e
ambientais, e, desta forma, a utilizao das tcnicas de MPPT so de suma importncia para a
extrao da mxima potncia disponvel. Dentre os mtodos avaliados, o mtodo Beta se
apresentou como uma soluo excelente com relao ao alto fator de rastreamento, reduzida
ondulao em regime permanente, bom desempenho transitrio e simplicidade de
implementao; outras alternativas de excelente performance que merecem destaque so os
mtodos IC e P&O modificados e IC e P&O baseados em PI, os quais independem do
tipo/fabricante do painel fotovoltaico. Dentre todos, os mtodos baseados em PI apresentaram
melhores fatores de rastreamento. Como potencial do trabalho, o conceito da implementao
de tais algoritmos atravs de controladores digitais tambm pode ser estendido para quaisquer
mtodos onde seja possvel minimizar funes de erro. Ainda necessrio salientar que a
diferena de desempenho entre os melhores algoritmos analisados pequena e estes devem
ser analisados para cada caso especfico, mas o presente estudo se mostra como um excelente
guia para a avaliao e implementao de um algoritmo mais apropriado. Finalmente, para o
caso de reduo de custos, interessante adotar o mtodo da Temperatura, caso se conhea os
dados do painel fotovoltaico.

69

Captulo 3
Avaliao dos Principais Algoritmos de Anti-Ilhamento

Neste captulo sero avaliados alguns dos principais algoritmos de anti-ilhamento


(DESAI; PATEL, 2007; ESRAM; CHAPMAN, 2007; JAIN; AGARWAL, 2007 a; PANDEY
et al., 2007; FARANDA et al., 2008; LAIRD et al., 2008; JAEN et al., 2008), atravs de
modelos em ambiente MatLab/Simulink, utilizando um conversor VSI monofsico
projetado para injetar potncia ativa na rede. A carga testada uma carga RLC em paralelo
com fator de qualidade e frequncia de ressonncia tais que atendam s exigncias da norma
IEEE 929-2000. Os algoritmos testados so basicamente os ativos, uma vez que os mtodos
passivos so ineficientes e os remotos so dispendiosos economicamente. Normalmente, uma
estratgia hbrida, que contempla um algoritmo ativo e protees de sub/sobretenso e
sub/sobrefrequncia escolhida para compor a estratgia de anti-ilhamento.

3.1 Modelo de Simulao


A carga modelada uma carga RLC em paralelo com fator de qualidade Qf = 2,5 e
frequncia de ressonncia igual frequncia da rede (f = 60Hz). O uso deste modelo se baseia
no fato de que a maioria dos algoritmos de anti-ilhamento apresenta dificuldades para a
deteco com algum tipo de carga RLC. Em geral, cargas no lineares ou cargas de potncia
constante no apresentam dificuldade para a deteco (Bower, 2002). O modelo de simulao
apresentado pela Figura 32, que contempla o inversor VSI, a indutncia de conexo e a
carga RLC local. Esta carga pode ser modelada pelas equaes (18) (20).
R=

L=
C=

Vc arg a 2
P

Vc arg a 2
2. . f .Qf .P
Q f .P
2. . f .Vc arg a 2

(18)

(19)

(20)

70

Figura 32 - Modelo para testes dos algoritmos de Anti-Ilhamento.


[B]
Goto

Falha

Discrete ,
Ts = 1e-006 s.

Universal Bridge

powe rgui

2
1

Lconex

Sensor

Breaker

Vsource

Rlocal1

rede

1
1
ILcon

Iindutor

Out1

Multimeter4
Modulante

Memory

Scope2

Pulsos

1
1
PC C

Vrede

Out2

Multimeter2

Subsystem1

Memory1

Scope

Controle

Convert
Scope1
Data Type Conversion

Fonte: Prprio autor.

A estratgia de controle baseada no controle direto da corrente de sada, onde o


conversor se comporta como uma fonte de corrente em relao rede. A corrente injetada
sincronizada tenso da rede por meio de um algoritmo PLL, que prov a frequncia e a fase
da tenso no ponto de acoplamento a fim de garantir fator de potncia unitrio ao inversor
fotovoltaico. O valor RMS da corrente injetada vem da malha de potncia do conversor, neste
caso, estipulada para a injeo de 500W na rede. O painel fotovoltaico foi substitudo por uma
fonte CC, apenas para proporcionar incremento na velocidade de simulao. Esta estratgia
apresentada atravs da Figura 33.
Figura 33 - Estratgia de injeo de potncia ativa em sincronismo com a rede.
Fre q
2

-K-

Vrede

V (pu)

Gain1

wt

T erminator

sin
Trigonometric
Function

Sin_C os

1-phase
PLL

Terminator1

PI
Product

500
pot. Ativa

PI

-KGain

C ontrole de Potncia
PQ

1
Iindutor

Active & Reactive


Power

Fonte: Prprio autor.

1
Out1

C ontrole de C orrente

71

3.2 Algoritmos Avaliados


3.2.1 Mtodo da Injeo de Reativo

O mtodo da injeo de reativo busca dificultar o equilbrio com a carga local, onde
em condies normais a rede fica responsvel por prover o equilbrio entre potncia gerada e
consumida. Aps a desconexo da rede, o excesso ou a falta de reativo provocar mudanas
na tenso no PCC. Este mtodo implementado adicionando-se uma malha para o controle da
energia reativa alterando a fase da corrente em relao fase da tenso da rede. O diagrama
do mtodo apresentado pela Figura 34. O reativo excedente provocar mudanas mais
aparentes na frequncia da tenso da carga local.
Figura 34 - Alterao no controle para injeo de potncia reativa.
f
Freq
2
Vrede

-K-

V (pu)

Gain1

Add

60

|u|

>= 1

Abs

Compare
T o Constant

wt

2
Erro

Sin_C os
sin

1-phase
PLL

T erminator1

PI
C ontrole de fase

Trigonometric
Function

pot. reativa
40
Product
500
pot. Ativa

PI

-K-

C ontrole de Potncia

PI

1
Out1

Gain

C ontrole de C orrente

V
PQ
1
Iindutor

Active & Reactive


Power

Fonte: Prprio autor.

3.2.2 Mtodo do Desvio Ativo de Frequncia (AFD)

Este mtodo opera com uma forma de onda ligeiramente distorcida, com frequncia
ligeiramente superior a da rede e sincronizada com o cruzamento por zero da mesma. Aps o
cruzamento da corrente por zero, esta mantida nula at coincidir com a passagem por zero
da tenso da rede. Desta forma, h uma tendncia constante da frequncia se alterar em
condies de ilhamento. possvel definir a frao de corte (Chf) para o mtodo AFD, em
funo do tempo de corrente nula (Tz) e do perodo (T) da rede atravs de (21); ainda atravs
de (22) possvel estabelecer a condio para o algoritmo detectar ilhamento em funo da

72

carga RLC. Este mtodo pode ser implementado com uma mudana simples na sada do PLL,
conforme Figura 35.
Ch f = 2.

Tz
T

(21)

Ch
1

tan 1 R.(.C
) = . f
.L
2

(22)

Figura 35 - Alterao no PLL para o mtodo AFD.


>=
2.pi

62

2*pi
Vrede 1

caso de falha1

1
erro

fmax2

Add6
seno1

Fre q
-KGain1

V (pu) wt

w.t

Df

Sin_Cos

1-phase
PLL

sin

Terminator1

1
cte

1
s
t

Saturation

den(s)
Transfer Fcn1

2
Sign

Mult2

Ref. Senoidal

Fonte: Prprio autor.

3.2.3 Mtodo do Desvio de Frequncia no modo Escorregamento (SMS)

Neste mtodo, o ngulo de fase entre a corrente injetada e a tenso no PCC no


controlado para ser sempre nulo, mas sim para ser uma funo da tenso no PCC. A curva de
fase do inversor projetada de tal forma que a fase do inversor aumente mais rapidamente do
que a fase da carga local. realizada uma realimentao positiva de tal forma que a
frequncia da rede se torne instvel para o inversor, ficando em 60Hz apenas na presena da
mesma. A equao que rege este mtodo dada por (23) e em (24) possvel obter o ngulo
mximo que deve ser imposto para que o mtodo seja eficaz na presena da carga RLC. Na
Figura 36 verifica-se a mudana realizada no PLL.
f pll f

2 f max f

= max .sen
max
f max f

12Q f

(23)

(24)

73

Figura 36 - Alterao na sada do PLL para o mtodo SMS.


fnominal1
60

Add5

|u|

>= 1

Abs1

Compare
T o Constant

ang. max

2
Out2

seno1
pi/2

Add6
Divide1

sin

-K-

pi/2

62
Freq
1

-K-

Vrede

V (pu)

Gain1

fmax1

wt

Sin_Co s

1-phase
PLL

sin

Terminator1

T rigonometric
Function

Ref. senoidal

Fonte: Prprio autor.

3.2.4 Mtodo do Desvio Ativo de Frequncia com realimentao positiva (Sandia


Frequency Shift - SFS)

Este mtodo aplica realimentao positiva na frequncia da corrente injetada de tal


forma que esta corrente apresente uma frequncia ligeiramente superior frequncia da
tenso da rede, sendo uma extenso do mtodo AFD, mas com menor interferncia na forma
de onda da corrente injetada. Com este mtodo a frequncia da tenso no PCC s se mantm
em 60Hz caso a rede esteja presente. A frao de corte deste mtodo dada por (25), mas
outras funes tambm podem ser aplicadas com sucesso, e, atravs da Figura 37, verifica-se
a alterao proposta no PLL.

C f = cf o + k .( f pll f rede )

(25)

Figura 37 - Alterao na sada do PLL para o mtodo SFS.


|u|

>= 1

Abs1

Compare
T o Constant

2
Erro

Add1

Add2

60

Add3

.1
fnominal2

2*pi
mod
0.01
f0

Fre q
1
Vrede

-KGain1

V (pu)

t
1

1
s

cte

Integrator

Sin_Co s

1-phase
PLL

Math Trigonometric
Function Function
2*pi
f2

wt

T erminator1

Fonte: Prprio autor.

sin

1
Ref. Senoidal

74

3.2.5 Mtodo da realimentao positiva da tenso (Sandia Voltage Shift - SVS)

Este mtodo aplica realimentao positiva na tenso no ponto de acoplamento de carga


de tal forma que quando houver diminuio no valor RMS desta tenso a potncia ativa
injetada pelo conversor tambm decresce, e, assim sucessivamente at a deteco do
ilhamento. A alterao realizada na malha de potncia do inversor e est apresentada na
Figura 38. O algoritmo opera de forma anloga para um aumento do valor RMS da tenso na
carga local.
Figura 38 - Modelo para teste do algoritmo SVS.
Freq
2
Vrede

-K-

V (pu)

Gain1

T erminator

sin
T rigonometric
Function

Sin_Co s

1-phase
PLL

signa l

wt

T erminator1

rm s

PI
RMS
127

Tenso

Product

500

PI
Rms Vo

Ref pot. Ativa

1
Out1

C ontrole de C orrente
PI
V
PQ

C ontrole Potencia

Active & Reactive


Power
1
Iindutor

Fonte: Prprio autor.

3.2.6 Mtodo da medio de Impedncia em uma frequncia especfica

Neste mtodo so introduzidas variaes na corrente injetada pelo inversor de modo a


observar seu efeito na tenso no PCC. Como a frequncia desta perturbao previamente
conhecida, seus efeitos na tenso so mais fceis de serem detectados. necessrio garantir
que esta perturbao no extrapole o nvel mximo de DHT da corrente injetada que de 5%.
A alterao dada na malha de controle de potncia e pode ser observada na Figura 39.

75

Figura 39 - Modelo para teste do mtodo de medio de impedncia.


Sine Wave

Freq
2

-K-

Vrede

V (pu)

Gain1

wt

Terminator
0.25

Sin_C os

1-phase
PLL

Alta freq.

Product1

T erminator1

sin
Trigonometric
Function

Product

PI

PI

pot. Ativa

-K-

C ontrole de C orrente

Gain

V
PQ
1

1
Out1

500

C ontrole de Potncia

Iindutor

Active & Reactive


Power
Scope

Fonte: Prprio autor.

3.3 Resultados de Simulao

3.3.1 - Interao entre carga e potncia gerada


Os efeitos da desconexo da rede nos terminais do inversor e na carga local dependem
da relao entre a carga e a potncia disponibilizada pelo sistema PV. Isto ocorre, uma vez
que o conversor usualmente controlado para injetar somente potncia ativa na rede,
independente da carga local, deixando a rede responsvel por manter o equilbrio. Em caso de
falta de energia em relao gerada, a rede se encarrega de suprir a necessidade adicional e,
em caso de excesso, a mesma absorve. Portanto, em caso de falha na rede, se houver mais
potncia gerada do que exigida, a tenso se eleva ou vice-versa. Da mesma forma, se houver
um perfeito equilbrio entre a carga e a potncia gerada, o efeito da perda da rede torna-se
imperceptvel com o algoritmo convencional, uma vez que no ocorrem alteraes na tenso
de sada do inversor. Estas condies esto ilustradas pelas Figuras apresentadas na
sequncia, onde a linha tracejada indica o momento em que a rede desconectada do sistema,
e, o tempo para deteo do ilhamento se baseia na alterao da frequncia em mais ou menos
1Hz.

76

Figura 40 - Efeito aps ilhamento para potncia gerada igual potncia consumida.

Fonte: Prprio autor.


Figura 41 - Efeito aps ilhamento para potncia gerada maior do que a potncia consumida.

Fonte: Prprio autor.


Figura 42 - Efeito aps ilhamento para potncia gerada menor do que a potncia consumida.

Fonte: Prprio autor.

77

Pode ser afirmado que o pior caso para a deteco do ilhamento ocorre quando h o
equilbrio entre a gerao e o consumo. Nestes casos, os mtodos passivos so ineficazes,
tendo, portanto, os mtodos ativos maior atratividade.

3.3.2 - Injeo de Reativo

A injeo de reativo se mostrou eficaz para a deteco de ilhamento com a presena da


carga ressonante, e, apenas uma pequena quantidade de reativo se fez necessria para alterar
os parmetros da tenso na carga local. A injeo de reativo correspondeu a 10% da potncia
ativa total. O principal problema que em potncia nominal, o fator de potncia da estrutura
sempre ser inferior ao fator de potncia timo. Na Figura 43 verifica-se a mudana de
frequncia aps a retirada da rede.
Figura 43 - Mudana de frequncia aps desconexo da rede.
62

61

Deteco

60

59

Desconexo
da rede
58

0,1

0,2

0,3

0,4

0,5

Tempo(s)

Fonte: Prprio autor.

3.3.3 - AFD

Especificou-se uma variao de 4,5 Hz para a frequncia da corrente injetada na rede,


correspondendo a uma frao de 7,5% do perodo da rede em 60Hz. A curva deste algoritmo
em conjunto com a curva da carga RLC, em funo da variao de frequncia apresentada
na Figura 44. O ponto de cruzamento o equilibrio entre a carga e a algoritmo aps retirada
da rede. Aps a retirada da rede, a frequncia da tenso na carga local sobe conforme pode ser
observado na Figura 45.

78

ngulo(Graus)

Figura 44 - Curva do algoritmo e da carga local em funo da frequncia.

Fonte: Prprio autor.


Figura 45 - Mudana de frequncia aps desconexo da rede.
62

Freq(Hz)

61

Deteco

60

59

Desconexo
da rede

58

0,1

0,2

0,3

0,4

0,5

Tempo(s)

Fonte: Prprio autor.

3.3.4 - SMS

A curva do algoritmo SMS em conjunto com a curva da carga RLC, em funo da


variao de frequncia, apresentada na Figura 46. O ponto de cruzamento o equilibrio
entre a carga e a algoritmo aps retirada da rede. Qualquer valor de frequncia entre estes dois
pontos de equilbrio podem ser escolhidos para a deteco do ilhamento pelo algoritmo. O
valor de desvio mximo foi adotado como 2Hz para o ngulo mximo de variao da carga
que foi estabelecido como 10 graus. Na Figura 47 mostra-se a mudana de frequncia aps a
retirada da rede.

79

ngulo(Graus)

Figura 46 - Curva do algoritmo e da carga local em funo da frequncia.

Fonte: Prprio autor.


Figura 47 - Mudana de frequncia aps desconexo da rede.

Fonte: Prprio autor.

3.3.5 - SFS

Especificou-se o desvio inicial de frequncia com sendo cf0=0,01Hz e a acelerao do


ganho para o erro entre as frequncias como sendo k=0,1. Aps a retirada da rede, a
frequncia da tenso na carga local se altera conforme pode ser observado na Figura 48.

80

Figura 48 - Mudana de frequncia aps desconexo da rede.

Fonte: Prprio autor.

3.3.6 - SVS

A especificao para deteco pelo SVS foi de 85% da tenso nominal, e, o tempo
para a deteco pode ser visualizado na Figura 49, onde aps o tempo de 0,1s, a rede
desconectada e o algoritmo passa a reduzir a potncia injetada at atingir a tenso para
deteco.

Tenso(RMS)

Figura 49 - Reduo do valor RMS de tenso at a deteco pelo algoritmo.

Fonte: Prprio autor.

81

3.3.7 - Medio de Impedncia em uma Frequncia Especfica

Este mtodo no se mostrou eficaz para os testes com a carga RLC j que um valor
relativamente elevado de corrente injetada em uma frequncia acima da frequncia da rede se
fez necessria para alterar os parmetros da tenso na carga local. Normalmente, a carga RLC
apresenta caractersticas de filtro-passa baixa para correntes de alta frequncia, quase no
impactando a tenso nesta frequncia especfica, quando da sada da rede. A Tabela 4 resume
as principais caractersticas dos algoritmos avaliados.

Mtodo

Injeo de

Tabela 4 - Principais caractersticas dos algoritmos de anti-ilhamento.


DHT
Facilidade de
Frequncia/Tenso Tempo para
Corrente
para deteco
Deteco
Implementao
Injetada

Grau de
Distrbio na
rede
Mdio (FP

59 Hz

110 ms

2%

Simples

AFD

61 Hz

138 ms

7,5%

Mdia

SMS

59 Hz

185 ms

2%

Mdia

Mnimo

SFS

61 Hz

235 ms

2,5%

Mdia

Baixo

SVS

107 V

290 ms

2%

Simples

Mnimo

Reativo

Fonte: Prprio autor.

reduzido)
Alto (DHT
elevada)

82

3.4 Concluses

Os mtodos passivos no se enquadram nos requisitos mnimos necessrios para


detectar o fenmeno de ilhamento na presena de cargas RLC, e, os mtodos remotos so
extremamente custosos, tendo, portanto, os mtodos ativos posio de destaque. No entanto, a
fim de melhorar a eficcia destes mtodos, as singularidades dos mtodos passivos so
adicionadas aos ativos a fim de aumentar a probabilidade de deteco. Com relao parcela
ativa dos mtodos, o mtodo da injeo de reativo se mostrou como o mais eficaz,
apresentando o menor tempo para a deteco. No entanto, a injeo de reativo na rede no
interessante por reduzir o fator de potncia da estrutura. O mtodo AFD apresenta um tempo
relativamente reduzido para a deteco mas para garantir a eficcia na presena da carga RLC
este introduz na rede elevado grau de distrbio. Os mtodos SMS e SFS se apresentam como
boas solues devido ao tempo relativamente baixo para deteco e baixo distrbio na rede,
tendo o mtodo SMS maior simplicidade de implementao e maior rapidez para deteco nas
condies propostas.

83

Captulo 4
Avaliao das Principais Topologias de Conversores para
Aplicao com PVs

Nas primeiras aplicaes de conversores para aproveitamento de energia dos PVs era
comum a utilizao de grandes associaes srie e paralelo de mdulos fotovoltaicos
concentrados em um nico conversor, vide Figura 50, o qual apresentava grande ineficincia
por causa dos diodos srie que precisavam ser inseridos em cada ramo paralelo da associao,
para que no houvesse fluxo de energia entre os mdulos, e tambm em virtude da m
distribuio de potncia entre os mesmos. Alm disso, devido centralizao do algoritmo de
MPPT, cada ramo pode vir a no operar no ponto de mxima potncia, representado perdas de
energia.
Figura 50 - Tecnologia de nico conversor centralizado para aplicao com mltiplos painis PVs.

D1

D2

Dn

PV

PV

PV

PV

PV

PV

PV

PV

PV

CC
CA

Rede
Fonte: Kjaer et al. (2005).

84

Por outro lado, em relao escolha de topologias direcionadas a conjuntos srie de


painis, esta permite o aumento da eficincia e o melhor aproveitamento da energia do PV
trabalhando mais prximo do ponto timo dos painis. Neste tipo de aplicao, no ocorrem
perdas de potncia devido ausncia dos diodos srie e um algoritmo dedicado de MPPT,
localizado no estgio inversor, utilizado, aumentando assim a eficincia global, e, possibilita
ainda, a reduo de custos devido possibilidade de produo em massa, vide Figura 51(a).
Uma melhoria nesta topologia pode ser obtida com a associao de poucos painis em srie,
com o cuidado de serem instalados com a mesma inclinao para o sol, minimizando
provveis problemas de sombreamento. Assim, a extrao de energia do sistema PV
maximizada. Se a associao srie no suprir a tenso necessria para a aplicao, um estgio
elevador deve ser inserido antes do estgio inversor. Outra excelente opo est no uso de
inversores com mltiplos ramos, Figura 51(b), onde cada ramo tem seu conversor CC-CC
dedicado com seu prprio algoritmo de MPPT. A sada destes conversores conectada a um
inversor nico. O aumento do sistema pode ser simplesmente obtido com a insero de ramos
PVs com seus conversores CC-CC na plataforma j existente.
Outro grande avano para os conversores de pequenas potncias a integrao de
estgios, permitindo a elevao da tenso e a converso para CA em uma nica estrutura de
potncia buscando aumento de eficincia e reduo de custos. Com a integrao de estgios e
a integrao com o painel fotovoltaico, tem-se o chamado mdulo CA, onde as placas podem
ser diretamente conectadas rede, como mostra a Figura 51(c). Nesta concepo, eliminam-se
as perdas por falta de compatibilidade entre os mdulos PVs e permite o ajuste timo entre o
painel e o inversor; contudo, o grande desafio conceber novos conversores que sejam
capazes de amplificar a pequena tenso disponvel com potncias elevadas e alta eficincia
(KJAER et al., 2005; NIANCHUN et al., 2009; LISERRE et al., 2010).

85

Figura 51 - Principais estratgias de conversores.

PV

PV

PV

PV

PV

PV

PV
CC

CC

CC

CC

CC

CC

CC

CA

CA

CA

Rede
(a )

(b)

(c )

Fonte: Kjaer et al. (2005).

4.1 Metodologia de Avaliao


As principais variveis a serem avaliadas para o estudo das topologias so a necessidade
ou no de isolao galvnica, nmero de estgios, operao isolada e/ou conectada rede de
distribuio e a localizao do capacitor de desacoplamento (KJAER et al., 2005).
Trabalhos recentes apontam que o principal desafio dos conversores eletrnicos aplicados
ao aproveitamento de energia fotovoltaica o aumento de sua vida til. Atualmente, as clulas
fotovoltaicas duram 3 a 4 vezes mais do que os conversores eletrnicos. De modo que, ao longo
do tempo de utilizao, eles devem ser reparados ou substitudos aumentando a manuteno e
reduzindo o retorno financeiro, e, desta forma economicamente vivel realizar estudos na
melhoria dos conversores eletrnicos. Todas as caractersticas de qualidade que so esperadas
dos conversores tendem a aumentar o seu custo, caractersticas estas como por exemplo:
mnima ou quase nula injeo de corrente contnua; reduzida distoro harmnica da corrente
injetada na rede; sincronizao e deteco de ilhamento; protees contra sobrecorrente, curtocircuito, dentre outras. necessrio salientar que o preo dos mdulos PVs antigamente
representava a maior parcela de custo para o sistema; contudo, atualmente, o custo dos painis
fotovoltaicos vem reduzindo e o custo dos conversores tem se tornado uma parcela
significativa. Desta forma, uma reduo no custo dos inversores obrigatria para que o

86

sistema se torne cada vez mais atrativo, e, a busca por sistemas com maior densidade de
potncia, alta eficincia, maior confiabilidade, maior vida til e menor custo so esperados
(KJAER et al., 2005; LI; WOLFS; 2006; LISERRE et al., 2010; SPAGNUOLO et al., 2010). A
obteno de conversores mais eficientes ser, num futuro prximo, obtida com novas
tecnologias de semicondutores de potncia do tipo Silicon Carbide (SiC), os quais permitem
elevadas frequncias de operao, sem aumento significativo de perdas, e operao confivel
com altas temperaturas de juno. Desta forma, haver diminuio dos elementos reativos e de
dissipao de calor, traduzindo em conversores com menor peso e custo (LISERRE et al., 2010;
SPAGNUOLO et al., 2010).
4.1.1 Isolao Galvnica

O uso de transformadores obrigatrio em vrios pases por questes de segurana


permitindo o aterramento duplo da estrutura, e seu uso tambm facilita na elevao de tenso
que feita diretamente na relao de espiras. Assim, os conversores do tipo VSI, que so
conversores inerentemente estveis e mais simples de se controlar, podem ser projetados para
injetar corrente senoidal na rede de distribuio, e, por esta razo eles so largamente utilizados.
Mas tecnicamente, o seu uso tambm apresenta muitas desvantagens, como o aumento do peso
e volume e a reduo da eficincia. A utilizao de transformadores de alta frequncia uma
alternativa interessante que reduz o peso e o volume, mas implica no aumento da complexidade
do conversor.
A ausncia de isolao galvnica no prejudica necessariamente a segurana. A proteo
um parmetro de projeto, que pode incluir diversas alternativas, como aterramento e at
mesmo dispositivos de proteo diferenciais (DR - Disjuntor Residual), por exemplo. O
principal cuidado de painis sem isolao galvnica est em no realizar manutenes com o
equipamento conectado rede, assim como quaisquer outros eletrodomsticos ou dispositivos
energizados. O aterramento da caixa do conversor propicia o surgimento de capacitncias
parasitas no circuito que podem gerar interferncias eletromagnticas. Por esta razo, novas
configuraes tm sido propostas para permitir o aterramento de um terminal do painel
juntamente com a rede. Protees passivas externas como disjuntores, DPS (dispositivo de
proteo contra surtos) e DR, provavelmente, sero bem-vindas nos quadros das instalaes
eltricas que recebero os mdulos fotovoltaicos.

87

Em virtude da busca de novas estruturas com reduzidos peso, volume e custos, verifica-se
uma tendncia para a pesquisa de conversores com topologias sem isolao galvnica
(LISERRE et al., 2010; SPAGNUOLO et al., 2010).
Em resumo, o uso de transformadores tende a piorar o rendimento, reduzir a vida til e
aumentar o custo, diminuindo a aceitao no mercado. A segurana tambm pode ser atendida
sem a isolao galvnica, e, enquanto no for uma exigncia no pas, esta pode ser uma
importante oportunidade para a produo de conversores de baixo custo e de alta confiabilidade
e eficincia.

4.1.2 Nmero de Estgios

Geralmente, os conversores de 1 ou 2 estgios so os mais utilizados nas aplicaes


orientadas a painis fotovoltaicos, sendo as configuraes dos estgios dependentes ou no do
uso de transformadores. Utilizando transformador com 1 estgio, o transformador deve ser de
baixa frequncia, como ilustra a Figura 52(a); j com o uso de transformadores de alta
frequncia, deve-se utilizar 3 estgios, onde o primeiro conversor um inversor que opera em
alta frequncia, o segundo um retificador e o terceiro um inversor que opera em baixa
frequncia (Figura 52(b)). Em alguns casos, o retificador intermedirio e o inversor de sada
podem ser substitudos por um cicloconversor, limitando o sistema a 2 estgios, como ilustra a
Figura 52(c).
Figura 52 - Possibilidades de conversores com o uso de transformadores.

BF

CA

(a)

CC

AF

CA

(b)

CC

CC

CA

CA

(c )

CA

CC

CC

AF

CA
CA

Fonte: Kjaer et al. (2005).

Como a tenso de entrada proveniente dos painis fotovoltaicos geralmente menor do


que a tenso de sada desejada para propiciar o correto funcionamento da estrutura, sem os

88

transformadores, o ganho de tenso deve ser dado por um estgio inicial que possibilite esta
elevao, cabendo ao segundo estgio a inverso, segundo ilustra a Figura 53.
Figura 53 - Esquema sem transformador.

CC
CC

CA
CC

Fonte: Kjaer et al. (2005).

Esta a configurao mais comum para os conversores sem isolao galvnica. Para
reduzir a um nico estgio, neste caso, a estrutura conversora deve contemplar a elevao e
inverso da tenso, integrando os estgios. Esta reduo pode diminuir o nmero de
componentes passivos e ativos, reduzindo o custo e a complexidade do conversor e melhorando
a eficincia, mas exigindo mais da unidade de controle que deve ser responsvel tanto pelo
ganho de tenso quanto por sua inverso, alm de incorporar os algoritmos de MPPT, antiilhamento e sincronismo.
4.1.3 Isolado ou Conectado Rede

Para que o conversor opere de forma isolada da rede (stand-alone), a sua sada deve
possuir caracterstica de fonte de tenso para atender a maioria das cargas, que so projetadas
para operar sendo alimentadas por fontes de tenso reguladas e estabilizadas. Nestes casos, a
sada um filtro LC sintonizado para eliminar as componentes mltiplas da frequncia de
chaveamento; isto para o caso de um inversor com sada tipo fonte de tenso (VSI). Para o caso
de um inversor com sada fonte de corrente (CSI - Current Source Inverter) necessrio um
capacitor para se carregar com a corrente pulsante, provendo, desta forma, a tenso necessria.
Esta caracterstica bsica de sada destes inversores est ilustrada na Figura 54. Nestas figuras,
os blocos VSI e CSI correspondem aos conversores sem filtros de sada, que fornecem tenso
pulsante ou corrente pulsante, respectivamente, como apresentado. Os componentes passivos
so necessrios devido carga considerada, que necessita ser alimentada por uma fonte de
tenso regulada e com baixa taxa de distoro harmnica. Por esta razo, estes componentes so
projetados primeiramente como filtros; sendo estes tambm determinantes para a dinmica do
conversor, que deve ser considerada durante o projeto dos controladores das malhas de controle,
para permitir o rastreamento satisfatrio em 60Hz. Ou seja, a malha de controle de tenso deve
ter resposta rpida o suficiente para reproduzir a tenso de sada na frequncia desejada pela
carga.

89

Figura 54 - Caracterstica de sada dos conversores para operao isolada.

L1
R

Fonte: Prprio autor.

Para se conectar rede eltrica (grid-connected), a sada do conversor deve ser do tipo
fonte de corrente; sendo portanto, um indutor de sada necessrio, como apresentado na Figura
55. Em aplicaes de elevadas potncias possvel a utilizao da indutncia de rede no lugar
do indutor de acoplamento, o que torna o controle da estrutura muito mais complexo, sendo
prefervel a utilizao da indutncia fsica de conexo. Com uma sada LCL para VSI ou CL
para CSI, o mesmo conversor poderia alimentar tanto cargas em paralelo com o capacitor
quanto poderia conectar-se a rede por meio do segundo indutor (L2). A vantagem poderia estar
na possibilidade de conectar cargas prioritrias ao conversor, que operariam isoladamente no
caso da interrupo da rede eltrica. Mas a desvantagem est no aumento do nmero de
componentes e da complexidade do controle aumentando o custo, e ainda, estaria energizado
em caso de reconexo da rede aps um ilhamento.
Figura 55 - Caracterstica de sada dos conversores para operao isolada e conectada.

L2

L1

L2

Vac

Vac

R
Fonte: Prprio autor.

Para que o conversor opere somente com conexo rede de distribuio, necessrio
somente um indutor na sada, conforme Figura 56, para os conversores do tipo fonte de tenso,
de forma a controlar a injeo de corrente ou um filtro CL para inversores tipo fonte de
corrente. A operao com conexo rede dispensa o uso de baterias e circuitos de
carregamento, como nas operaes isoladas, garantindo o mximo aproveitamento de energia
do painel e melhorando a vida til do sistema; sendo fatores de forte apelo que propiciam o
aquecimento deste mercado.

90

Figura 56 - Caracterstica de sada dos conversores para operao em conexo com rede.

L1

L1
Vac

Vac

Fonte: Prprio autor.

4.1.4 Capacitor de Desacoplamento

O desacoplamento de potncia necessrio para que o painel fotovoltaico possa operar


satisfatoriamente no ponto de mxima potncia, uma vez que a ondulao de tenso na sada do
painel influencia diretamente no fator de rastreamento do ponto timo. Quanto maior a
ondulao presente nesta tenso, mais longe do MPP estar o sistema. Para que o rastreamento
de potncia alcance o patamar de 98% de energia aproveitada, a ondulao de tenso do PV, no
MPP, no deve ultrapassar 8,5% (KJAER et al., 2005).
Nas configuraes de conversores com 2 estgios, o elo CC o responsvel por fazer o
desacoplamento de potncia. A grande vantagem neste caso est na independncia do controle
entre os estgios, sendo que ambos podem operar em funo das flutuaes de tenso neste
capacitor, funcionando como um desacoplamento ativo de potncia, podendo os controles
serem ajustados para a mxima eficincia. Quando h somente 1 estgio o capacitor deve ser
colocado em paralelo com o painel fotovoltaico, para realizar um desacoplamento passivo e, o
controle deve ser ajustado para prover resposta satisfatria e no mais possvel obter mximo
desempenho.
A desvantagem do capacitor no elo CC, com o conversor de 2 estgios, est na tenso de
operao, que deve ser maior do que o pico da tenso da rede; j o capacitor em paralelo com o
PV necessita de maior capacitncia para atender ao desacoplamento. Mas em compensao este
capacitor no precisa suportar a mesma tenso que o capacitor do elo CC.

91

4.1.5 Integrao de Estgios

Esta integrao consiste na unio dos estgios inversor e elevador nas topologias sem
isolao galvnica, tendo como principal vantagem a reduo do nmero de componentes e na
simplificao do circuito. O conversor Boost CC-CC pode ser integrado ao inversor
convencional VSI eliminando o diodo, o capacitor e, por conseqncia, a chave do conversor
Boost pode ser substituda pelas chaves do inversor. Em relao ao VSI, o indutor de sada pode
ser retirado. O resultado desta integrao, leva ao conhecido inversor CSI (Current Source
Inverter). Os passos para esta integrao esto apresentados na Figura 57, onde os componentes
que podem ser retirados em cada etapa esto destacados.
importante observar tambm que os diodos em anti-paralelo com as chaves do inversor
VSI devem ser retiradas para que ele possa operar como CSI. Estes diodos causariam curtocircuito do capacitor de sada durante a operao. Alm disso, como a estrutura deve operar
como inversor, as chaves da ponte CSI devem suportar bloqueio de tenso reversa. Isto pode ser
alcanado com a utilizao dos chamados RB-IGBTS (Reversing Blocking IGBTs), que so
chaves com diodos srie encapsulados para prover a capacidade de bloqueio reverso, ou a
insero de diodos externos srie em cada semicondutor da ponte CSI.

92

Figura 57 - Etapas para a integrao dos estgios Boost e inversor.

Fonte: Prprio autor.

Com esta integrao, o controle do inversor e a elevao necessria de tenso so


realizados pelo mesmo conversor. A dinmica deste inversor a mesma do conversor Boost,
que lenta e difcil de se controlar em altas frequncias devido presena de um zero no semiplano direito, e, este zero influenciado diretamente pelo indutor Boost, que deve ser elevado
para garantir a energia que deve ser entregue carga (VSQUEZ et al., 2009). Para melhorar a
dinmica deste inversor pode-se lanar mo do controle modo corrente. Neste controle, a malha
adicional de corrente capaz de reduzir a ordem do sistema de controle, uma vez que o
capacitor de sada carregado com caractersticas de fonte de corrente, e, controlar o valor
absoluto da tenso de sada para evitar a incompatibilidade natural existente entre as malhas de
controle. A incompatibilidade natural reside no fato de que a tenso de sada alternada e a
corrente de entrada contnua, e como a malha de controle de tenso produz a referncia para a
malha interna de corrente, necessrio que as leituras destas variveis tenham o mesmo padro

93

(ambas contnuas). Um esquema simplificado do modo corrente aplicado ao controle do


inversor Boost apresentado na Figura 58, onde kv e ki representam os ganhos dos sensores.
Verifica-se neste esquema que aps o ganho kv realizado o clculo do valor absoluto
(representado por Abs)
Figura 58 - Controle no modo corrente para o inversor Boost.

Vref

Ev

Cv ( s)

I ref

Ei

Ci ( s)

VC

VPV

Gid

IL

Gvi

Vout

Ki
Abs

Kv
Fonte: Prprio autor.

Com este controle, a corrente de entrada deste conversor apresenta caracterstica senoidal
retificada, tendo, portanto, o PV que apresentar um capacitor de desacoplamento maior. Mas,
em compensao, a indutncia deste inversor Boost muito menor do que a do conversor CSI
convencional. Outro problema desta estrutura que a mesma no opera de forma adequada
quando a tenso de sada menor do que a de entrada. Este problema operacional intrnseco da
estrutura do inversor Boost pode ser entendido de forma clara quando se analisa a variao da
razo cclica de trabalho em funo do intervalo de tempo (t) variando-se tambm o ganho
esttico. O ganho esttico do inversor Boost, operando em MCC, o mesmo do conversor
Boost CC-CC (RASHID, 2001), sendo apresentado em (26):

Vout
1
=
Vin 1 D

(26)

Como o inversor Boost apresenta tenso de sada senoidal, (26) se torna:

VP sen(t )
Vin

1
1 D

(27)

Isolando a varivel razo cclica D em (27) obtm-se (28):

D = 1

Vin
1
.
Vp sen(t )

(28)

Estabelecendo a relao :

=
e substituindo-se em (28) obtm-se (30):

Vin
Vp

(29)

94

D = 1

sen(t )

(30)

Pela equao (30) verifica-se que a razo cclica varivel. A fim de melhor visualizar
a variao da razo cclica em funo da varivel t, para cada especfico, plotou-se o baco
da Figura 59. Neste baco, pode-se visualizar um intervalo no qual o inversor boost integrado
no opera de forma adequada, que quando a tenso de sada menor do que a tenso de
entrada.

Figura 59 - baco da variao da razo cclica em funo de t, para cada especfico

t
Fonte: Prprio autor.

Uma tentativa de minimizar este problema est na insero de uma etapa de pr-carga
com caracterstica resistiva de forma a grampear a tenso de sada no valor da tenso de entrada.
Mesmo com estes cuidados, a estrutura apresenta dificuldades de controle e maior distoro
harmnica quando opera com cargas reduzidas (SAMPAIO et al., 2010; BRITO et al., 2010).
O problema da impossibilidade de se controlar tenses de sada menores do que a de
entrada no verificado na topologia convencional com 2 estgios, uma vez que a caracterstica
do conversor Boost no relevante, j que o inversor VSI quem faz o trabalho de abaixador.
exatamente por esta razo que um conversor Buck-Boost no utilizado antes do inversor, mas
a integrao do inversor VSI com um conversor CC-CC Buck-Boost resolve este problema de
controle. Contudo, a chave em srie com a corrente de entrada tambm prejudica o conversor no
que se refere ao rendimento da estrutura e da qualidade da corrente que drenada do PV,
precisando de um capacitor de desacoplamento maior. Alm disso, a corrente no indutor BuckBoost maior do que a corrente no indutor Boost, para a mesma potncia de operao. O

95

exemplo de integrao do inversor VSI com o conversor Buck-Boost, apresentado na Figura


60, que demonstra um procedimento semelhante ao anterior, onde o indutor do VSI e o
capacitor do Buck-Boost podem ser retirados. Na segunda etapa, o diodo pode ser retirado, mas
a chave de entrada no pode ser substituda pelas chaves do inversor, como no caso do inversor
Boost integrado.
Figura 60 - Etapas para a integrao dos estgios Buck-Boost e inversor.
Db

Sb

S1
Vin-

Cb

Lb

S2
LO
CO

S3

S4

S1

S2

(a)
Db

Sb

Vin-

Lb

CO
S3

S4

S1

S2

(b)

Sb

Vin -

CO

Lb

S3

S4

(c)

Fonte: Prprio autor.

A variao da razo cclica deste inversor tambm analisada na sequncia. O ganho


esttico do inversor Buck-Boost, operando em MCC, o mesmo do conversor Buck-Boost CCCC (RASHID, 2001), sendo apresentado em (31):

Vout
D
=
Vin 1 D

(31)

Como o inversor Buck-Boost apresenta tenso de sada senoidal, (31) se torna:

VP sen(t )
Vin

D
1 D

(32)

96

Isolando a varivel razo cclica D em (32) obtm-se (33):


D=

V p sen ( t )
Vin + V p sen ( t )

(33)

Dividindo (33) por Vp e estabelecendo a relao :

Vin
Vp

(34)

e substituindo-se em (33) obtm-se (35):

D=

sen(t )

+ sen(t )

(35)

Pela equao (35) verifica-se que a razo cclica tambm varivel e a fim de se
visualizar sua variao em funo da varivel t, para cada especfico, plotou-se o baco da
Figura 61.

Figura 61 - baco da variao da razo cclica em funo de t, para cada especfico

t
Fonte: Prprio autor.

Analisando o baco do inversor Buck-Boost, verifica-se que a funo de variao da


razo cclica no apresenta descontinuidades, o que demonstra que este inversor no apresenta
problemas para controlar tenses de sada menores do que a de entrada.
Ainda, existe a possibilidade de se trabalhar com a integrao do VSI com um conversor
Boost de 3 estados (Tri-State) (VISWANATHAN et al., 2002; LOH et al., 2008), que apresenta
uma chave auxiliar (Saux) para realizar a roda livre da corrente sobre o indutor. Esta topologa
integrada apresentada na Figura 62. Os estados principais do conversor so os estados de
carga e de descarga do indutor, que so comuns topologia do inversor CSI. J o terceiro estado

97

ocorre somente quando a chave Saux est em conduo e permite a manuteno de energia no
elemento indutivo, sem transferncia de potncia para a sada.
Figura 62 - Inversor monofsico Boost Tri-State.

Saux

Vdc

S1

S2
Co

Lb
S3

S4

Fonte: Prprio autor.

Nesta topologia, a adio do terceiro estado simplifica o controle do conversor, j que


elimina o zero presente no semi-plano direito. Desta forma pode-se aplicar o controle no modo
tenso que mais simples do que o controle no modo corrente. Controlando o conversor no
modo tenso, a corrente de entrada deve ser contnua e, desta forma a indutncia de entrada
tende a ser maior do que a do inversor Boost Integrado, mas a qualidade da forma de onda
sintetizada na sada do conversor e a possibilidade de se controlar a extrao da mxima
potncia do PV e a inverso de tenso de forma menos dependente tornam esta topologia muito
atrativa.
Nos exemplos de integrao de estruturas foram esquematizados os circuitos para
operao isolada. Quando for necessria a operao com conexo rede, ento um indutor de
sada precisa ser adicionado ao circuito, como apresentado na Figura 56. Quando estes
conversores forem aplicados para aproveitamento de energia fotovoltaica, um capacitor de
desacoplamento de potncia para a operao tima do mdulo fotovoltaico, deve ser adicionado
em paralelo com o painel.
O mtodo de integrao apresentado pode ser facilmente aplicado a outros conversores. O
conversor CC-CC uk tambm foi integrado ao inversor, como mostra a Figura 63. Neste caso,
a estrutura integrada final ainda permanece com um inversor VSI.

98

Figura 63 - Etapas para a integrao dos estgios Cuk e inversor.

Fonte: Prprio autor.

O conversor resultante possui uma caracterstica interessante para sistemas fotovoltaicos


conectados a rede que a entrada e a sada em corrente, que caracterstico do conversor uk
CC-CC devido presena dos dois indutores, e apresenta ainda a mesma quantidade de
elementos reativos que o conversor a dois estgios.
Como potencial do trabalho, a aplicao da integrao de estgios utilizando os
conversores bsicos CC-CC leva ao surgimento de novas famlias de conversores integrados
tanto monofsicos quanto trifsicos (BRITO et al., 2011). Na Figura 64 e na Figura 65 so
apresentadas estas famlias utilizando como exemplo o modo grid-connected.

99

Figura 64 - Famlia de inversores integrados monofsicos.


Lb
S1

S3

Vdc

Lac Vac

S1
Vdc

Cac
S2

S2

Lac

S3

Sc

S4

Cz
Vac V
dc

Vac

Cac

Lbb

S4

S1
Vdc

Lac

S3

Sbb

Sz

S3

S1

Lac

Vac

Lz
Cc S 2

Lc

S4

S2

Ls
Vdc

S1

Cs
Ss

S3

S4

Lac

Ls 2

Vac

Cac
S2

S4

Fonte: Prprio autor.


Figura 65 - Famlia de inversores integrados trifsicos.
Lb
S1

S2

Lac

S3

Vac

Vdc

Vdc

S4

S5

S1

S2

S3

S4

S5

S6

Lac

Lbb

S6

Cac

S1
Vdc

S2

S3

Cac

Lac

Vac

Sc

Lc

Vac

Sbb

Sz

C z S1

S2

S3

S4

S5

S6

Lac

Vac

Vdc
Lz

Cc S
4

S5

S6

Ls
Vdc

Cs
Ss

S1

S2

S3

S4

S5

S6

Lac

Vac

Ls 2

Cac

Fonte: Prprio autor.

necessrio salientar que ao se fazer a integrao, os conversores ficam sujeitos ao


mesmo comportamento dinmico dos conversores CC-CC. Como o controle da sada requer alta
frequncia (>1kHz) para alcanar um nvel de distoro harmnica aceitvel em 60Hz, o
controle da sada muito difcil em modo tenso. Simplificadamente, o controle no modo

100

tenso controla a sada do conversor utilizando apenas a varivel de estado tenso no capacitor
de sada, e, desta forma, o modelo do conversor apresenta ordem proporcional ao nmero de
elementos reativos, o que leva a uma maior dificuldade para se realizar a sintonia do controlador
(ERICKSON; MAKSIMOVIC, 2001; RASHID, 2001). Outro controle possvel o controle no
modo corrente, que utiliza como variveis de estado a tenso no capacitor de sada e tambm a
corrente no indutor de acumulao principal da estrutura, e, desta forma, reduz a complexidade
do modelo do conversor (ERICKSON; MAKSIMOVIC, 2001; RASHID, 2001). Por outro lado,
ao realizar o controle em modo corrente, a sada CA e a entrada CC no so compatveis do
ponto de vista do sistema de controle, e, todas as grandezas de sada precisam ser trabalhadas
apenas com relao aos seus valores absolutos para que o controle possa ser aplicado como se
fosse um conversor CC-CC. Este procedimento provoca distores no cruzamento por zero,
onde ocorre a inverso de polaridade.
Realizar a modulao de 3 estados melhora a dinmica do circuito e possibilita o controle
do conversor em modo tenso, eliminando o problema no cruzamento por zero. Esta modulao
com 3 estados, se usada apropriadamente, pode realizar o desacoplamento de potncia ativa,
semelhante aos conversores convencionais. Apesar desta modulao ser mais complexa, esta
traz vantagem na menor dependncia entre extrao de potncia no MPP do PV e da injeo de
corrente senoidal na rede, o que no possvel nos conversores integrados convencionais
(GALOTTO JUNIOR et al., 2011; BRITO et al., 2012).
Os inversores mais comuns, para aplicaes trifsicas de pequenas potncias, ainda se
baseiam na associao em cascata do conversor Boost mais inversor VSI, mas a grande parcela
das aplicaes dos inversores trifsicos se d para potncias elevadas (acima de 10kW). Dessa
forma, natural a utilizao de associaes srie-paralelo de PVs a fim de prover a potncia
necessria para a aplicao, e assim, obtm-se tenso de entrada suficientemente elevada para a
utilizao de apenas um inversor VSI. No entanto, para aplicaes de mdias potncias, entre
1kW e 2,5kW, as associaes de PVs podem no oferecer o nvel de tenso necessrio para a
maioria das aplicaes, e, desta forma a utilizao do estgio Boost pode ser interessante.
Entretanto, este estgio demandar correntes elevadas e suas perdas sero significativas, assim a
utilizao de inversores trifsicos integrados ganha destaque.
Os inversores integrados trifsicos apresentam uma importante vantagem quanto
reduo do volume dos elementos armazenadores de energia. Como a potncia trifsica
constante e no mais pulsante em 120Hz, como no caso monofsico, o projeto do indutor de
elevao de tenso fica baseado na frequncia de chaveamento da estrutura, no tendo que
filtrar a ondulao adicional. Normalmente, como a frequncia destes conversores deve ser

101

maior do que 20kHz, at para se evitar rudo audvel, os elementos armazenadores tero peso e
volume menores do que suas verses monofsicas.
Um quesito interessante desses inversores trifsicos est em como proceder com a
ativao de suas chaves a fim de propiciar tenses/correntes trifsicas defasadas e com
distores aceitveis. O uso da modulao PWM trifsica senoidal possvel, entretanto, se
torna custosa e de pior desempenho uma vez que estados de curto-circuito (instantes de carga do
indutor Boost para propiciar elevao de tenso) devem ser inseridos de forma adequada em
todos os ciclos de comutao. Uma soluo interessante a utilizao da modulao atravs de
vetores espaciais, a denominada Space Vector Modulation (SVM) (BOLOGNANI;
ZIGLIOTTO, 2002; COLLI et al., 2006), tambm adaptada para prover os adequados instantes
de curto-circuito, mas que apresenta desempenho muito superior do que as modulaes
convencionais. Ainda, esta modulao facilita a utilizao do controle em bases 0 ou dq0,
que tm forte apelo quando se trata do controle de conversores trifsicos. Alm disso, nestes
inversores, tambm possvel realizar o controle independente entre entrada e sada atravs da
modulao de 3 estados, que tambm deve ser adicionada adequadamente ao modulador.

4.2 Resultados de Simulao


4.2.1 Estruturas Monofsicas Conectadas Rede Eltrica

A seguir so apresentados resultados de simulao para os conversores convencional de 2


estgios (Boost + VSI), Boost Integrado (CSI), Boost com chave auxiliar, Boost de 3 estados,
Buck-Boost integrado, Buck-Boost de 3 estados e uk integrado. Os conversores foram
simulados com conexo a rede eltrica usando um algoritmo de sincronia PLL, e, a princpio, o
painel solar foi substitudo por uma fonte contnua equivalente, a fim de se avaliar o sistema em
regime permanente e diminuir o tempo necessrio para concluir as simulaes. As simulaes
foram realizadas no ambiente MatLab/Simulink.
O modelo desenvolvido para simular o conversor de dois estgios, Boost CC-CC em
cascata com o inversor fonte de tenso VSI monofsico, para a injeo de corrente senoidal na
rede, encontra-se na Figura 66.

102

Figura 66 - Modelo de simulao para a associao do conversor elevador mais inversor VSI monofsico
[A]

Discre te,
T s = 1e-006 s.

Lb

powe rgui

S11

Ponte

Diode

c
2

V2
C

Breaker
A

C2

IGBT
E

AC

Multimeter6
IL

+ v
-

[C]

V3

V4

Multimeter3

IL

S1
S1

Iref

From

Constant
Vrede

21
S1
Vout

Multimeter2

Multimeter7
[A]

Break
Vcap

Subsystem1

[C]

Subsystem

Display
250.3

In Mean

Multimeter1

Discrete
Mean value

-KGain2

502.6

-K-

Multimeter8 Gain

Display2

Scope4

1
Multimeter5

In

R MS

Discrete
RMS value

-K-

442.9

-K-

signalTHD
[C]

Gain3

Display3

Gain1

DHT

From1

2.347
Display1

Fonte: Prprio autor.

O sistema de controle do conversor Boost apresentado na Figura 67, que apresenta


uma malha de corrente e proteo de sobretenso no elo CC. A malha de corrente do
conversor Boost responsvel por simular a reproduo da corrente de referncia necessria
para que o PV opere no ponto de mxima potncia, sendo a corrente de referncia obtida
atravs de um algoritmo de MPPT. Neste caso, a corrente de referncia foi adotada como
constante.
Figura 67 - Modelo de simulao para o controle e modulao para o conversor Boost.
Relational
Operator

Discrete
PID C ontroller1

Memory
1

PID

>=

IL

1
S1
Repeating
Sequence

2
Iref

Memory1
Limite D

3
Vout

Constant
290

Fonte: Prprio autor.

103

O sistema de controle e modulao do inversor VSI apresentado na Figura 68, que


apresenta uma malha de potncia, o regulador de corrente e a modulao PWM senoidal. O
controle de potncia responsvel por manter a tenso no elo CC em torno de 250V,
indicando a amplitude da corrente para o bloco regulador. A sincronizao com a rede
obtida atravs de um algoritmo PLL (p-PLL) (SIDELMO et al., 2004; SANTOS FILHO et al.,
2008).
Figura 68 - Modelo de simulao para o controle e modulao do inversor VSI monofsico
Relay
Subtenso link CC

Vcap

Break
2

PID

Memory

Discrete
PID C ontroller1

250

IL
1

Constant

PID

Memory1

Discrete
PID C ontroller

Fre q

Vrede
2

-K-

V(pu)

wt

Terminator1

sin
>

Memory2

Gain1

Sin_C o s

Discrete
1-phase PLL

Trigonometric
Function

Terminator2

Mult

Relational
Operator6

Repeating
Sequence4
-1
Gain

<
Relational
Operator7

NOT
Logical
Operator2

S1
1

NOT
Logical
Operator1

Fonte: Prprio autor.

O modelo de simulao do Inversor Boost Integrado apresentado na Figura 69, bem


como o controle e a modulao so apresentados na Figura 70. O controle da sada realizado
por meio de um sistema multi-malhas. A primeira malha de controle a da corrente de sada.
Esta responsvel por indicar o valor e o formato da tenso que o capacitor de sada deve
reproduzir para garantir a injeo de potncia na rede. Por sua vez, a sada da malha de tenso
indica malha de controle da corrente de entrada, qual o valor de corrente a ser drenada da
fonte de tenso de modo a manter a potncia do sistema constante.

104

Figura 69 - Modelo de Simulao do Inversor Boost Integrado monofsico


Discrete,
Ts = 1e-006 s.
pow ergui

IL
Goto

Lrede

i
-

Iin
Diode

L2
Vdce

AC 1

Cb1

A
-

Universal Bridge

time
Clock

To Workspace2

Vref
lei de controle

Ref.

Modulante

Vsaida
g

Multimeter1
IL

Ientrada

Multimeter2

Scope3

Vref
Vref 1

From3

signal
T HD
modulador

Ilrede

Multimeter4

Discrete
Total Harmonic
Distortion1

Controle

-KGain1

8.946
Vrms3

Fonte: Prprio autor.


Figura 70 - Modelo de simulao para o controle e modulao do inversor Boost Integrado monofsico.
>= 0
Sign
2
Vsaida
4
Il rede

Compare
T o Zero

|u|
Memory

Abs1

NOT

|u|
Memory2

Logical
Operator

Abs2

PID

PID

-CConstant
Product

Discrete
PID Controller3

Discrete
PID Controller2

Add
PID
Discrete
PID Controller1

3
Ientrada
1
Ref_Sen

|u|
Abs

>=
NOT

Relational
Operator

Memory1

NOT
Repeating
Sequence

Switch

Logical
Operator3

Logical
Operator2

Fonte: Prprio autor.

Os modelos de simulao para os demais inversores foram baseados nos modelos


apresentados anteriormente.
A distoro harmnica total da corrente (DHT) de cada conversor tambm foi obtida via
simulao, separadamente, para comparao. As melhores topologias, com relao DHT da
corrente injetada foram as de 3 estados: Boost e Buck-Boost. Estes apresentaram DHT da
ordem de 1,5%. O convencional de 2 estgios e o Cuk integrado apresentaram DHT por volta
de 2,5%, o Boost com chave auxiliar apresentou DHT da ordem de 4,8% e o conversor BuckBoost integrado apresentou DHT de 3,9%. O conversor Boost integrado (CSI) apresentou o pior
desempenho, sendo de 9,5%. De acordo com os dados de DHT foi possvel verificar que a
maior parte das topologias integradas apresentou problema durante o cruzamento por zero,
exceto as que possuem 3 estados e o uk integrado. Isso ocorre devido tentativa de se

105

controlar o inversor como se fosse um conversor CC-CC utilizando os valores absolutos das
grandezas medidas. Alm disso, o conversor Boost integrado (CSI) tambm no opera com
tenso de sada menor do que a de entrada aumentando sua distoro. A visualizao da
corrente injetada na rede, em conjunto com a tenso da rede, est apresentada na Figura 71.
Figura 71 - Corrente injetada na rede com os conversores avaliados.
200

10

150

-150

-5

-200
450

460

470

480

Corrente(A)

Tenso(V)

2 Estgios

-10
500

490

Tempo(ms)
200

10

Boost TriState

Boost
Integrado

-150

-5

Tenso(V)

10

-200
0

20

40

60

80

100

20

40

200

80

100
10

Buck-Boost
Tri-State

Boost c/
Chave Aux

150

-150

-5

Corrente(A)

Tenso(V)

60
Tempo(ms)

Tempo(ms)

10

-200
0

20

40

60

80

100

20

40

Tempo(ms)

60

80

100

Tempo(ms)

200

10

Cuk
Integrado

Buck-Boost
Integrado

150

-150

-5

-200

Corrente(A)

Tenso(V)

Corrente(A)

150

10
0

20

40

60

80

100

Tempo(ms)

20

40

60

80

100

Tempo(ms)

Fonte: Prprio autor.

Nas topologias integradas, devido ausncia do estgio intermedirio de energia (elo


CC), os algoritmos de MPPT e de conexo com a rede (injeo de potncia e PLL) devem se
comunicar diretamente (um algoritmo fornece a referncia para o outro), trazendo maior

106

complexidade ao sistema de controle. Alm disso, a corrente de entrada ser a varivel que
refletir as variaes de potncia no lugar da tenso do capacitor do estgio CC, j que a
potncia da rede eltrica tem caracterstica natural pulsante em 120Hz, refletindo na
necessidade de um maior capacitor de desacoplamento passivo em paralelo com o painel
fotovoltaico. Alm disto, parte da energia solar disponvel durante os transitrios ser perdida,
prejudicando o fator de rastreamento (FR) (JAIN; AGARWAL, 2007).
Os grficos da Figura 72 mostram a corrente fornecida pelo painel (a) e a corrente no
indutor de elevao de tenso (b). As configuraes convencional, Boost de 3 estados e BuckBoost de 3 estados foram simuladas com capacitncia de desacoplamento 50 vezes menor do
que os demais, o que apresenta um ganho para a maior vida til do sistema fotovoltaico. Com os
conversores de 3 estados, a corrente de entrada pode ser controlada para ser mais prxima da
contnua assim como no conversor convencional, sendo este o motivo para que o capacitor de
desacoplamento seja menor. Mas, por outro lado aumenta o tamanho do indutor que deve
realizar um desacoplamento indutivo de potncia. No entanto, o uso de elementos indutivos no
prejudica a vida til do sistema.
Figura 72 - Detalhe das correntes para os inversores.

(a)

(b)
Fonte: Prprio autor.

107

Com relao ao rastreamento do ponto timo do painel, simularam-se os conversores com


a mesma variao de incidncia solar e de temperatura, onde os conversores de 3 estados e o
conversor convencional apresentaram fator de rastreamento da ordem de 98%. Apesar da
utilizao da mesma tcnica de MPPT (P&O convencional), os resultados mudaram um pouco
em virtude da maneira como cada conversor armazena e transfere energia e da necessidade
maior ou menor do capacitor de desacoplamento. Estes resultados podem ser visualizados na
Figura 73, onde a linha vermelha representa a variao de potncia de referncia, a linha azul a
potncia drenada do painel fotovoltaico e a linha em verde a potncia mdia injetada na rede.
Figura 73 - Rastreamento do MPP pelos conversores.

Fonte: Prprio autor.

108

necessrio salientar que a escolha da melhor topologia depende da aplicao desejada,


uma vez que todas apresentam vantagens e desvantagens com relao ao nmero de
componentes, fator de rastreamento e DHT da corrente injetada.
O conversor convencional de 2 estgios, apresenta ndices de qualidade muito bons com
relao a DHT e ao fator de rastreamento, e, apresenta simplicidade de controle, mas a
estrutura que possui a maior quantidade de componentes e capacitor de elo CC elevado, sendo
invivel para a reduo dos custos necessrios na atualidade. Os conversores de 3 estados, tanto
Boost quanto Buck-Boost, apresentam a melhor forma de onda da corrente injetada na rede e
timo fator de rastreamento do MPP e ainda os menores capacitores de desacoplamento. Porm,
apresentam os maiores indutores. O Boost com chave auxiliar parece ser uma soluo
intermediria entre indutores, capacitores e DHT. Porm, apresentou o pior fator de
rastreamento (FR) e dificuldade de controle para baixa potncia. O uk permitiu a maior
reduo de indutores, mas possui baixo fator de rastreamento e grande quantidade de
componentes. De maneira geral, o presente estudo demonstra que o inversor Buck-Boost de 3
estados uma excelente alternativa para injeo de corrente na rede monofsica. Estes detalhes
esto resumidos na Tabela 5.

Inversores

Tabela 5 - Comparativo entre as topologias testadas.


Quantidade de Componentes

DHT I(%)

FR(%)

2,5

98,2

9,5

89,1

4,8

89,2

3,9

95,0

Cuk

2,8

93,2

Boost 3 Estados

1,6

97,9

1,5

97,8

Chaves*

Diodos

2 estgios

Boost

Buck-Boost

Boost c/ Chave
Auxiliar

Buck-Boost 3
Estados

Fonte: Prprio autor. * As chaves incluem os diodos srie ou em paralelo.

109

4.2.2 Estruturas Trifsicas

O conversor de dois estgios, como comentado, est entre as solues mais simples e nem
por isto menos eficaz para se realizar a interface entre o painel fotovoltaico e a rede de
distribuio. O conversor mais utilizado para a extrao da mxima potncia e para elevao de
tenso do painel PV o conversor Boost CC-CC. Desta forma, simularam-se os inversores VSI
trifsico e VSI trifsico NPC 3 nveis para injeo de corrente senoidal na rede, utilizando
modulao unipolar e todos precedidos pelo Conversor Boost. As topologias destes inversores
esto apresentadas na Figura 74, onde a fonte Vdc representa o capacitor de sada do primeiro
estgio, apenas para simplificao das figuras.
Figura 74 - Inversores trifsicos a duplo estgio (a) VSI (b) NPC 3 nveis.

S1

S5

S3

Lac

Vac

Vdc
2

Vdc

S2

S4

S6

Vdc
2

S1

S5

S9

S2

S6

S10

D3

D1

D4

D2

(a)

Lac

D5

Vac

D6

S3

S7

S11

S4

S8

S12

(b)
Fonte: Prprio autor.

A DHT da corrente injetada na rede de 2% para o caso do inversor VSI e de 1% para o


caso do inversor NPC 3 nveis. As formas de onda da corrente injetada podem ser visualizadas
na Figura 75 e na Figura 76, e, para o caso do inversor NPC 3 nveis a tenso de linha pode ser
visualizada na Figura 76(b).
Figura 75 - Formas de onda de corrente injetadas na rede.

Fonte: Prprio autor.

110

Figura 76 - Formas de Onda para o NPC trs nveis. (a) Corrente injetada (b) Tenso de linha antes do filtro.

(a)

(b)

Fonte: Prprio autor.

Simularam-se tambm os inversores trifsicos integrados Boost, Buck-Boost e suas


verses de 3 estados (Tri-State). Inicialmente, o painel fotovoltaico foi substitudo por uma
fonte de tenso CC. A seguir podem se visualizadas as correntes de sada injetadas na rede e as
correntes nos indutores de elevao de tenso.

Figura 77 - Formas de onda para o inversor boost. (a) Corrente no indutor. (b) Correntes injetadas na rede.

Fonte: Prprio autor.

Figura 78 - Formas de onda para o inversor buck-boost. (a) Corrente no indutor. (b) Correntes injetadas na rede.
40

10

30

20

10

-5

0
0

0,1

0,2

0,3

0,4

0,5
Tempo(s)

0,6

0,7

0,8

0,9

-10
0,4

0,41

0,42

0,43
Tempo(s)

Fonte: Prprio autor.

0,44

0,45

111

Figura 79 - Formas de onda para o inversor boost de 3 estados. (a) Corrente no indutor. (b) Correntes injetadas
na rede.

Fonte: Prprio autor.


Figura 80 - Formas de onda para o inversor buck-boost de 3 estados. (a) Corrente no indutor. (b) Correntes
injetadas na rede.
40

10

30

20

10

-5

0
0

0.1

0.2

0.3

0.4

0.5
Tempo(s)

0.6

0.7

0.8

0.9

-10
0,4

0,41

0,42

0,43

0,44

0,45

Tempo(s)

Fonte: Prprio autor.

Dentre as estruturas avaliadas, os inversores trifsicos baseados no conversor boost, tanto


o integrado quanto o de 3 estados, foram os que exigiram menores valores de corrente no
indutor de elevao para propiciar o mesmo valor eficaz (RMS) de corrente injetada na rede. As
DHTs das correntes foram muito pequenas para todas as topologias, tendo o Buck-Boost de 3
estados apresentado DHT de 2,5%; o Buck-Boost DHT de 1,7%; o Boost integrado DHT de
1,4% e o Boost de 3 estados DHT de 1%. A corrente da rede para o inversor Buck-Boost
integrado apresentou uma leve oscilao no pico da forma de onda ocasionada pela maior
dificuldade de se manter o valor mdio da corrente no indutor de acumulao, j que na etapa
de transferncia de energia o indutor que sozinho transfere esta corrente para a carga, isto
levando-se em considerao o mesmo indutor das topologias Boost. Outro item interessante
que nas topologias de 3 estados, o controle da entrada independente da sada, e desta forma,
possvel realizar uma rampa de injeo de potncia na rede de forma mais eficiente, sendo esta
comparao visvel na Figura 81, utilizando as topologias Boost para este fim.

112

Figura 81 - Rampa de injeo de corrente na rede (a) Boost Integrado de 3 estados. (b) Boost Integrado

Fonte: Prprio autor.

4.3 Resultados Experimentais


Os conversores integrados monofsicos Boost, Buck-Boost, Zeta/uk e Boost/BuckBoost de 3 estados foram implementados em laboratrio e as fotos destes conversores esto
apresentadas na Figura 82. Os conversores Zeta e uk foram testados com o mesmo prottipo
utilizando os mesmos elementos reativos apenas trocando a posio de algumas conexes
eltricas. Esta estratgia tambm foi aplicada aos conversores Boost e Buck-Boost de 3
estados.

Figura 82 - Conversores Integrados Implementados.

Fonte: Prprio autor.

113

4.3.1 Operao Isolada

Primeiramente, o inversor Boost integrado, comumente conhecido como Current Source


Inverter (CSI) foi testado alimentando cargas isoladas (modo Stand-Alone). A modulao deste
conversor foi otimizada, inserindo uma etapa de pr-carga do capacitor de sada sempre que a
tenso de entrada maior do que a de sada. Isto minimiza as distores no cruzamento por zero
da tenso senoidal de sada deste conversor, uma vez que conversores baseados no conversor
Boost CC-CC apenas operam de forma adequada quando a tenso de sada maior do que a
tenso de entrada. A Figura 83 apresenta a tenso de sada do inversor Boost Integrado (Vo),
juntamente com a corrente de carga (Io) e com a corrente no indutor de entrada (IL).
Figura 83 - Principais formas de onda para o Inversor Boost Integrado.

Vo

Io

IL
Fonte: Prprio autor com o uso do osciloscpio infiniium.

A tenso de sada deste conversor apresenta o formato senoidal com mdio contedo
harmnico sendo este da ordem de 6,5%. Este valor bem reduzido se comparado com o
inversor Boost sem etapa de pr-carga, o qual ultrapassa os 10% de distoro.
Com o intuito de verificar a qualidade dos compensadores projetados, um degrau de meia
carga para carga nominal foi aplicado neste conversor, e, se observou uma tima resposta
transitria uma vez que a corrente de pico no indutor Boost saiu quase que instantaneamente de
5A para 10A, como ilustra a Figura 84.

114

Figura 84 - Anlise de um degrau de carga aplicado ao Inversor Boost Integrado

Vo
Io

IL

Fonte: Prprio autor com o uso do osciloscpio infiniium.

Os principais resultados para o inversor integrado Buck-Boost alimentando uma carga


isolada so apresentados na Figura 85, e como se pode verificar, este conversor consegue
modular melhor a forma de onda da tenso de sada em comparao com o inversor Boost
integrado.
Figura 85 - Principais formas de onda do Inversor Buck-Boost

Fonte: Prprio autor com o uso do osciloscpio infiniium.

Os conversores uk e Zeta tambm foram testados alimentando cargas isoladas da rede.


As formas de onda da tenso de sada do inversor uk integrado, a corrente de carga e a
corrente no indutor de entrada so apresentadas na Figura 86.

115

Figura 86 - Principais formas de onda do Inversor uk

Fonte: Prprio autor com o uso do osciloscpio infiniium.

Um degrau de carga tambm foi aplicado a este conversor, e a resposta dinmica


apresentada na Figura 87.
Figura 87 - Resposta ao degrau de Carga

Fonte: Prprio autor com o uso do osciloscpio infiniium.

Os mesmos testes foram realizados no conversor Zeta operando no modo isolado da rede.
As principais formas de onda so apresentadas na Figura 88 enquanto que o degrau de carga
apresentado na Figura 89.

116

Figura 88 - Principais formas de onda do Inversor Zeta

Fonte: Prprio autor com o uso do osciloscpio infiniium.


Figura 89 - Resposta ao degrau de Carga

Fonte: Prprio autor com o uso do osciloscpio infiniium.

Os inversores de 3 estados, mais conhecidos na literatura como inversores Tri-State foram


testados na seqncia. Estes conversores foram inicializados com uma rampa de partida, e as
formas de onda mostrando a inicializao dos inversores Boost e Buck-Boost de 3 estados so
apresentadas na Figura 90.

117

Figura 90 - Partida dos conversores de 3 estados. (a) Boost; (b) Buck-Boost. Tenso de Sada em Azul e
Corrente no Indutor em Verde. Escalas: Tenso (100V/div); Corrente (10A/div); Tempo (100ms/div).

(a)

(b)

Fonte: Prprio autor com o uso do osciloscpio infiniium.

A tenso de sada do inversor Boost de 3 estados apresentada na Figura 91, enquanto


que a tenso de sada do inversor Buck-Boost de 3 estados apresentada na Figura 92.

Figura 91 - Principais formas de onda do Conversor Boost de 3 estados no modo isolado. Escalas: Tenso
(200V/div); Corrente (15A/div); Tempo (10ms/div).

Fonte: Prprio autor com o uso do osciloscpio infiniium.

118

Figura 92 - Principais formas de onda do Conversor Buck-Boost de 3 estados no modo isolado. Escalas: Tenso
(200V/div); Corrente (15A/div); Tempo (10ms/div).

Fonte: Prprio autor com o uso do osciloscpio infiniium.

4.3.2 Operao Interligada Rede


A taxa de distoro harmnica da tenso de sada do conversor Buck-Boost de 3 estados
de apenas 3,5%, enquanto que a distoro da tenso do conversor Boost de 3 estados ficou em
5%. Devido ao melhor desempenho apresentado pelo conversor Buck-Boost, e pela
possibilidade de controle independente entre entrada e sada mais eficiente, este foi escolhido
para realizao de testes de conexo com a rede, desligamento e reconexo automtica com a
mesma. Resultados estes apresentados na seqncia. A tenso de sada deste conversor,
juntamente com a tenso da rede so apresentadas na Figura 93. Estas tenses esto
sincronizadas por meio de um algoritmo PLL convencional (p-PLL).

Figura 93 - Tenses de sada do conversor Buck-Boost de 3 estados. (a) Tenso do conversor em verde
(100V/div) e (b) (Tenso da rede em azul (100V/div); Tempo (10ms/div).

(a)
(b)
Fonte: Prprio autor com o uso do osciloscpio infiniium.

119

Aps a sincronizao com a rede, a transferncia de potncia iniciada e a corrente


injetada na rede mostrada na Figura 94, juntamente com a tenso da rede e a potncia pulsante
resultante. Verifica-se a corrente defasada de 180 da rede (devido localizao da ponteira de
corrente que no sentido positivo indica corrente saindo da rede) garantindo injeo de potncia
ativa com DHT de apenas 4,5%.
Figura 94 - Tenses de sada, Corrente injetada na rede e potncia instantnea. Tenso da rede em azul
(100V/div), Corrente injetada (2A/div) e potncia instantnea na rede (100VA/div); Tempo (20ms/div).

Fonte: Prprio autor com o uso do osciloscpio infiniium.

Este conversor tambm foi testado alimentando uma carga local e simultaneamente
injetando corrente na rede CA em 127 Vrms. Dessa forma, operando tanto no modo stand-alone
quanto no modo grid-tied. Estes resultados so apresentados na Figura 95.
Figura 95 - Formas de onda para operao simultnea em stand-alone e grid-tied. Tenso da rede em verde
(100V/div), Corrente injetada em rosa (2A/div), tenso do conversor em azul (100V/div) e corrente na carga
local (2A/div); Tempo (20ms/div).

Fonte: Prprio autor com o uso do osciloscpio infiniium.

120

Testes dinmicos de desconexo e reconexo rede, devido falha de rede e posterior


restabelecimento da mesma so demonstrados na Figura 96 e na Figura 97. O sistema de
controle atua abrindo o rel de conexo rede assim que o algoritmo de anti-ilhamento SMS
detecta a falta de rede. O tempo de deteco da ordem de 200ms, e o conversor passa a
alimentar apenas a carga local sem nenhuma conexo com a rede de distribuio em CA. A
reconexo automtica rede um pouco mais lenta, sendo da ordem de 450ms a fim de garantir
a segurana durante a reconexo e injeo de potncia na rede em CA.
Figura 96 - Formas de onda para a desconexo do conversor da rede de distribuio em CA.

Fonte: Prprio autor com o uso do osciloscpio infiniium.


Figura 97 - Formas de onda para a reconexo automtica do conversor rede

Fonte: Prprio autor com o uso do osciloscpio infiniium.

121

Ainda, o conversor integrado Buck-Boost de 3 estados permite o desacoplamento de


potncia na forma indutiva. Isto permite reduzir a capacitncia de desacoplamento em paralelo
com o painel fotovoltaico, o que traz vantagens para o aumento da vida til do conversor uma
vez que os capacitores eletrolticos (usados na topologia convencional a dois estgios)
normalmente tendem a reduzir a vida til dos equipamentos. O uso de capacitores de Filme
poderia tambm aumentar a vida til do sistema, mas a um preo ainda elevado par estes nveis
de tenso e de capacitncia. Um pequeno capacitor ainda se faz necessrio a fim de filtrar a
corrente pulsante produzida pela chave de entrada em alta frequncia do conversor Buck-Boost.
A Figura 98 mostra as formas de onda da corrente no indutor Buck-Boost, a corrente de entrada
do conversor e a corrente drenada do painel fotovoltaico. Verifica-se que a corrente de entrada
do conversor pulsante, mas devido ao efeito do desacoplamento indutivo aliado ao pequeno
capacitor do PV (100F) capaz de manter a corrente de entrada praticamente constante.

Figura 98 - Formas de onda da corrente no indutor Buck-Boost (IBB), na entrada do conversor (Iin) e no painel
fotovoltaico (IPV).

I: 10A/div
Tempo:
10ms/div

IBB

Iin

IPV

Fonte: Prprio autor com o uso do osciloscpio infiniium.

122

4.4 Concluses

A busca por solues utilizando conversores integrados deve se tornar uma realidade em
virtude da diminuio da quantidade de componentes, reduo de volume, custos e aumento de
vida til, levando consequentemente reduo dos custos da instalao dos painis
fotovoltaicos e incremento de confiabilidade das futuras instalaes.
O prvio estudo das topologias monofsicas direcionou a escolha da topologia BuckBoost integrada de 3 estados para os testes com conexo rede em corrente alternada, isto em
virtude da excelente forma de onda de corrente injetada na rede, com reduzido contedo
harmnico; possibilidade de controle em modo tenso e boa taxa de rastreabilidade. Alm da
possibilidade de controle independente entre entrada/sada e pela possibilidade do
desacoplamento indutivo levando a uma melhor interface com os painis fotovoltaicos.

123

Captulo 5
Inversores Integrados Trifsicos Tri-State

Boost Tri-State
A topologia do inversor trifsico Boost Tri-State (BRITO; CANESIN, 2012) pode ser
visualizada na Figura 99. O filtro CL de segunda ordem obrigatrio para a conexo com a
rede, sendo, para o caso isolado, o indutor de sada dispensvel.

Figura 99 - Inversor Integrado Boost Tri-State operando conectado a rede.

Lac

Vac

LB

Fonte: Prprio autor.

Este inversor possui uma chave auxiliar (Saux) para possibilitar o estado de roda-livre
(denominado de mantm) da corrente no indutor Lb. Os trs estados de operao podem ser
visualizados atravs da Figura 100, considerando-se apenas a alimentao de uma fase. O
estado de curto-circuito responsvel pela carga do indutor Boost, o estado ativo se encarrega
de alimentar a carga e o filtro de sada enquanto que o estado de roda-livre responsvel pela
manuteno da energia sobre o indutor Boost. O intervalo de curto-circuito dura D1.T; o
estado ativo ocorre durante D2.T e o estado de roda-livre se estende durante (1-D1-D2).T. T
representa o perodo de chaveamento e o modo de operao baseado no modo de conduo
contnua (MCC). O estado ativo D2.T se divide em outras duas etapas, denominadas de Ta e
Tb, que sero discutidos durante a apresentao da modulao space vector adaptada.

124

Figura 100 - Etapas de operao do Inversor Integrado Boost Tri-State.


Lac

LB

Vac

Lac

Vac

LB

LB
Cac

Fonte: Prprio autor.

O inversor Tri-State capaz de melhorar a resposta dinmica reduzindo os efeitos do


zero presente no semi-plano direito, tpicos dos conversores Boost utilizando controle no
modo tenso (SABLE et al., 1991;VISWANATHA et al., 2002; LOH et al., 2008), e ainda,
este conversor apresenta vantagem com relao ao aumento do ganho esttico. Na literatura, o
tempo D2 sempre mantido constante, o que de fato, interessante para eliminar o zero do
semi-plano direito, mas, restringe uma interessante potencialidade deste tipo de conversor.
Variando D1 e D2 possvel realizar o desacoplamento ativo de potncia, fazendo com que,
para o caso dos inversores integrados monofsicos, que a potncia pulsante no seja refletida
na fonte de alimentao (GALOTTO JUNIOR et al., 2011; BRITO et al., 2012). Essa
caracterstica, quando aplicada ao inversor trifsico, permite que entrada e sada sejam
controladas de forma independente (BRITO; CANESIN, 2012). Isto realmente muito
interessante para sistemas fotovoltaicos conectados rede uma vez que a elevao de tenso e
a busca do MPPT podem ser obtidas de maneira independente do sincronismo e da injeo de
potncia na rede em CA. Ademais, como a potncia trifsica constante, todos os elementos
reativos so reduzidos quando comparados sua verso monofsica.

5.1 Modulao Space Vector


A modulao usando os vetores espaciais (Space Vector Modulation - SVM)
amplamente utilizada nos inversores trifsicos devido s suas caractersticas especiais
[ZIOGAS et al., 1990; ZHOU et al., 2002; ZAIMEDINE et al., 2010]. Esta modulao
permite um melhor aproveitamento do barramento CC, menor distoro harmnica das
tenses e correntes de sada alm de apresentar menores perdas por comutao, isto quando
comparada modulao PWM senoidal trifsica. Basicamente considerado um vetor
espacial que pode ser inserido dentro de seis setores hexagonais a fim de se determinar os
estados de operao. Usando a modulao SVM para os inversores fonte de tenso (VSIs)

125

possvel determinar os vetores espaciais para os inversores do tipo fonte de corrente (CSIs). A
Figura 101 mostra a obteno da modulao para os CSIs a partir da modulao SVM VSI.
Figura 101 - Modulao espacial para os inversores VSI e CSI

Fonte: Prprio autor.

possvel verificar que os setores para a modulao CSI so defasados em relao aos
setores da SVM em trinta graus. Outra caracterstica interessante que, diferentemente da
VSI onde 3 chaves esto sempre em conduo, nos CSIs apenas duas chaves podem conduzir
simultaneamente. Este quesito obrigatrio uma vez que o lado CA principalmente
capacitivo e, dessa forma, no pode ser curto-circuitado. Como o barramento CC do tipo
fonte de corrente, este nunca pode estar em aberto. Estes requisitos so atingidos sempre que
apenas uma chave superior e uma chave inferior da ponte esto em conduo
simultaneamente, a menos do instante de roda-livre, onde as chaves da ponte esto em aberto.
Como exemplificao para a obteno do setor 1 baseia-se no diagrama da Figura 101, onde a
chave S1 est fechada juntamente com a chave S5. Desta forma, a tenso na fase a mais
positiva enquanto que a tenso na fase b mais negativa. Assim tm-se os fasores
representados por Max a e Min b, da modulao VSI, e realizando a soma vetorial destes dois
fasores encontra-se o fasor (S1,S5) do primeiro setor da modulao CSI. Realizando-se o
mesmo procedimento para todas as outras possibilidades de chaveamento, encontram-se os
setores da modulao CSI. Um item muito importante, diferentemente dos conversores VSIs
onde necessria a insero de dead time na troca de estado dos interruptores de cada brao,
evitando assim curto circuito no barramento CC, que os conversores CSIs devem
implementar o chamado short time de modo a sempre haver um caminho para a circulao de
corrente do barramento CC (corrente no indutor de boost).
O prximo passo remete ao clculo das projees do vetor espacial baseado no setor onde
este vetor se encontra. Assumindo rotao de trinta graus possvel utilizar apenas o setor 1
para os clculos, lembrando-se que para posterior modulao imprescindvel o envio do

126

setor correto. O setor 1 considerado em fase com a fase a, e.g, fase a em zero graus, como
visualizado na Figura 102. Desta forma, todos os vetores so enviados para o setor 1 durante o
clculo das projees.
Figura 102 - Clculo das projees baseadas no setor 1.

Fonte: Prprio autor.

As equaes (37) (39) determinam os tempos de chaveamento Ta, Tb e Tnull. Tnull


representa o tempo de roda-livre e Ta somado a Tb representa o intervalo D2.
Ta = V .(cos V 3
Tb = V .(2 3

sin V )

sin V )

Tnull = Ts Ta Tb

(37)
(38)
(39)

Diferentemente do modulador convencional, o modulador para este inversor Tri-State


apresenta um intervalo adicional de controle D1, responsvel pelo tempo de curto-circuito.
Este intervalo inserido na modulao CSI SVM, e desta forma, (37) (39) devem ser
escalonados de acordo com o intervalo D1 a fim de manter as caractersticas de modulao
desejadas ao conversor. Os vetores so escalonados em valores por unidade (pu) e as
equaes so multiplicadas pelo fator (1-D1) e somadas posteriormente a D1. O tempo de
curto D1 deve ser proporcionalmente distribudo entre as chaves da ponte CSI a fim de
equalizar a distribuio de perdas e dissipao de calor.
O diagrama de blocos da modulao proposta apresentado na Figura 103(a), e uma
possibilidade de implementao dos pulsos de gate podem ser visualizados na Figura 103(b).

127

Figura 103 - Diagrama de blocos da modulao proposta (a); Exemplo de implementao dos pulsos de gate (b).

(a)

(b)

Fonte: Prprio autor.

O modelo da modulao proposta, implementada em ambiente Matlab/Simulink, pode


ser visualizada na Figura 104.
Figura 104 - Modelo da modulao em ambiente Matlab/Simulink.

Fonte: Prprio autor.

As tabelas a seguir demonstram como foi codificado o padro de chaveamento do inversor


Trifsico Boost Tri-State. Este padro considera os setores de 1 ao 6 e os intervalos de
comutao D1, D2 (Ta e Tb) e (1-D1-D2). Os 6 setores foram codificados em uma palavra de 3
bits, conforme Tabela 6.

128

Tabela 6 - Decodificao dos setores do hexgono

Entradas
Setor
Sec2

Sec1

Sec0

Fonte: Prprio autor.

Os intervalos de tempo de chaveamento (sinais de alta frequncia) foram codificados


tambm em uma palavra de 3 bits, onde o primeiro bit representa D1, o segundo representa Ta
e o ltimo representa Tb. Quando inativos recebem o valor "0" e quando ativos recebem o
valor "1". Quando todos os estados estiverem inativos a sada representa o estado de mantm
(1-D1-D2). Esta codificao est apresentada na Tabela 7.
Tabela 7 - Codificao para seleo do tempo de chaveamento.

Sinais de Alta Frequncia

Sada

Ta

Tb

Estados

Curto

Tempo Ta

Tempo Tb

Mantm

Fonte: Prprio autor.

Finalmente, a palavra de acesso do padro de chaveamento une o setor com os intervalos


de tempo de alta frequncia constituindo uma palavra de entrada de 6 bits. Com este padro
possvel mostrar todo o chaveamento do inversor com a sada representada por uma palavra
de 7 bits que representa o chaveamento das chaves Saux, S1, S2, S3, S4, S5, S6. A Tabela 8
resume o chaveamento.

129

Tabela 8 - Codificao para seleo dos estados de chaveamento do inversor Boost Tri-State.

Entradas

Sadas

Sec2

Sec1

Sec0

Ta

Tb

Saux

S1

S2

S3

S4

S5

S6

Fonte: Prprio autor.

130

5.2 Anlise Qualitativa do Inversor


5.2.1 Ganho esttico

As equaes em espao de estados mdio deste conversor so apresentadas em (40)


baseando-se nos estados topolgicos da Figura 100. Como o conversor designado para
injeo de corrente na rede, a indutncia de conexo e a prpria rede so considerados como
uma fonte de corrente (Io). Vo representa a tenso de linha, cujo valor mximo reflete no
barramento CC do conversor. Verificando os estados topolgicos da Figura 100,
especificamente o tempo D2.T, apresenta-se a Figura 105 para facilitar a visualizao da
tenso refletida no barramento CC. Ainda, considerando-se o sistema trifsico simtrico e
equilibrado a resoluo deste sistema se baseia no equivalente monofsico.
Figura 105 - Detalhe da tenso refletida no barramento CC.

S1

LB

Lac

S5

Cac

Lac

Vac

LB

Vac

Cac

Fonte: Prprio autor.

LB .I&LB = Vin .D1 + (Vin Vo ).D2 + 0.(1 D1 D2 )

Cac .V&o = I o .D1 + ( I LB I o ).D2 I o .(1 D1 D2 )

(40)

Simplificando-se as equaes acima e escrevendo-as em sua forma matricial chega-se


a (41):

I&LB 0
=
V&o D2
Cac

D2

( D1 + D2 )
LB I LB
LB
. +
V
0
0 o

Vin
.
1 I o
Cac
0

(41)

A partir de (41) e sabendo-se que a corrente mdia no capacitor e a tenso mdia no


indutor Boost so nulas em um perodo de chaveamento, obtm-se a corrente mdia no
indutor em funo da potncia de sada (Po) e da tenso de linha (VLinha) alm do ganho
esttico:

131

Po
I LB =

Io

G=

D2

Vo

Vin

3.VLinha
D2

D1 + D2
D2

(42)

(43)

Verifica-se, a partir de (43), que este conversor apresenta uma funcionalidade


interessante para obteno do ganho esttico uma vez que 2 razes cclicas de controle (D1 e
D2) so responsveis por gerar este ganho. Na Figura 106 mostra-se o grfico do ganho
esttico deste conversor, variando-se D1 e D2, juntamente com o ganho do conversor
integrado Boost convencional. Na Figura 107 apresenta-se o ganho esttico em funo do
intervalo de roda-livre (Dnull) da corrente no indutor em funo da razo cclica D1.
Figura 106 - Ganho esttico para diferentes combinaes de D1 e D2.

Fonte: Prprio autor.


Figura 107 - Ganho esttico para diferentes combinaes de D1 e Dnull.

Fonte: Prprio autor.

Atravs de (43) verifica-se que quando D2 tende a zero o ganho tende ao infinito e
conseqentemente por (42) a corrente no indutor tambm tende ao infinito. Assim, verifica-se

132

que neste conversor o ganho de tenso est relacionado diretamente ao ganho de corrente que
ocorre no indutor Boost. Contudo, as perdas na resistncia do indutor levam a uma reduo
efetiva na corrente neste indutor e, conseqentemente, no ganho de tenso prtico. Incluindo a
resistncia srie do indutor no modelo em espao de estados mdio, tem-se:
LB .I&LB = (Vin RLB .I LB ).D1 + (Vin RLB .I LB Vo ).D2 + 0.(1 D1 D2 )

Cac .V&o = I o .D1 + ( I LB I o ).D2 I o .(1 D1 D2 )

(44)

LB .I&LB = (Vin ).( D1 + D2 ) Vo .D2 RLB .I LB

Cac .V&o = I o + I LB .D2

(45)

RLB
I&LB
LB
& =
Vo D2
Cac

D2

( D1 + D2 )
LB I LB
LB
. +
V
0
0 o

0 V
in
.
1 I o
Cac

(46)

Isolando ILB em 45(b), substituindo em 45(a) e considerando uma carga resistiva


equivalente para a corrente de sada Io (Ro), tem-se para o novo ganho esttico:
G=

Vo

Vin

D1 + D2
1
R
D2 + LB .
RO D
2

(47)

Verifica-se que o ganho real depende da relao entre a resistncia do indutor e a


resistncia equivalente de carga. Na Figura 108 apresenta-se este ganho esttico para algumas
condies de resistncia do indutor para a mesma carga e considerando D2=0,15.

Ganho Esttico Vo/Vin

Figura 108 - Ganho esttico real considerando as perdas no indutor.

Fonte: Prprio autor.

133

5.2.2 Clculo do Indutor Boost e do Capacitor AC

Estes elementos magnticos podem ser calculados a partir das etapas de operao do
inversor atribuindo a porcentagem de ondulao de corrente e de tenso desejadas. Para o
clculo do indutor considera-se o intervalo de armazenamento D1; para o clculo do capacitor
considera-se o intervalo em que este elemento alimenta sozinho a carga. Assim chegam-se s
expresses:

VLB = LB .

I LB
%I
= LB . LB
t
D1.T

LB =

I o = Cac .

D1.Vin
f s .I LB

(49)

%VLinhapk
Vac
= Cac .
t
D1.T

Cac =

(48)

I o. (1 D2 )
f s .(Vac )

(50)

(51)

5.2.3 Clculo do Indutor de Acoplamento

O Indutor de rede normalmente calculado para atenuar o ripple de chaveamento do


conversor. Isto comum para os inversores fonte de tenso; no entanto, para o inversor CSI
no existe ripple de chaveamento a ser eliminado uma vez que o primeiro filtro o capacitor
de rede e este elimina quase que totalmente esta ondulao. Desta forma, necessrio o
projeto deste indutor com relao estabilidade da injeo de corrente na rede, sendo
dependente da frequncia de amostragem da malha de injeo de potncia, ou seja, da
frequncia de Nyquist. Considerando-se o capacitor de sada como uma fonte de tenso, a
indutncia de rede mnima calculada para atenuar -20dB uma dcada abaixo da frequncia
de Nyquist (fNyq), que vale metade da frequncia de amostragem (fa), de acordo com (53).
Gi ( s ) =

1
=
s.Lac

1
2. .

Lac

f Nyq
10

100
f a .

= 0,1

(52)

.Lac
(53)

134

5.2.4 Estimativa da Eficincia do Inversor

5.2.4.1 Inversor Boost Tri-State

Para realizar o clculo da eficincia do inversor foram considerados diferentes


semicondutores, incluindo IGBTS, MOSFETS e diodos do tipo SCHOTTKY. Tambm foram
consideradas as perdas resistivas nos elementos magnticos, sendo eles: indutor boost,
indutores de acoplamento de rede, capacitores AC de rede e capacitor de desacoplamento do
PV. Desta forma, o clculo das correntes mdias e eficazes nos diferentes elementos se faz
necessria, e, segue o diagrama da Figura 109.
Figura 109 - Esboo das principais correntes no Inversor.

S aux

S1

S2

S3

S4

S5

S6

Lac

Vac

Lb
Cac
Fonte: Prprio autor.

Para o clculo dos valores eficazes e mdios das correntes nos semicondutores
necessrio o clculo das grandezas em um perodo de chaveamento e posteriormente seu
clculo no perodo de rede, isto para as chaves S1 S6. Saux opera somente no perodo de
chaveamento. Assim para a chave S1 tem-se:
IS1avg _ T =

IS1avg _ f rede

1
=
2

( D1 + D2 ).T

1
T

I LB .( D1 + D2 ).d (t ) =

1
IS1rms _ T =
T

I LB .dt = I LB .( D1 + D2 )

(54)

( D1 + D2 ).T

I LB .( D1 + D2 )
3

I LB 2 .dt = I LB . ( D1 + D2 )

(55)

(56)

135

IS1rms _ f rede =

1
2

(
3

I LB .( D1 + D2 )

) .d (t ) =
2

I LB . ( D1 + D2 )

(57)

As grandezas calculadas para S1 valem para todas as chaves da ponte CSI, apesar do
formato das correntes que fluem pelas chaves S4 S6 diferirem, seus valores eficazes e
mdios so equivalentes. Isto garantido pela modulao que faz uma diviso igualitria de
esforos. Para Saux tem-se:
1
IS aux avg =
T

1
IS aux rms =
T

(1 D1 D2 ).T

I LB .dt = I LB .(1 D1 D2 )

(58)

( I LB ) 2 .dt = I LB . (1 D1 D2 )

(59)

(1 D1 D2 ).T

O grfico da curva de eficincia, supondo uma potncia mxima de 2,5kW com tenso
de entrada em 100V, para diferentes semicondutores, apresentado na Figura 110. Foram
utilizados trs conjuntos de MOSFETS e 3 conjuntos de IGBTs com o mesmo diodo
SCHOTTKY.

Figura 110 - Estimativa das Perdas para alguns Semicondutores.

Fonte: Prprio autor.

5.2.4.1 Inversor Trifsico VSI associado ao Conversor Boost


O mesmo desenvolvimento foi realizado para o inversor VSI trifsico precedido pelo
conversor CC-CC Boost uma vez que este conversor est entre as solues mais utilizadas na
prtica; e uma comparao terica entre as eficincias destas topologias se torna muito
interessante. Neste sentido, conforme Figura 111, so esboadas as principais formas de onda
de corrente nos principais elementos para o clculo dos valores mdios e eficazes. Os valores

136

eficazes e mdios para o perodo de rede esto apresentados pelas equaes (60) (65). Notase que o filtro escolhido para a topologia VSI o filtro de rede Lac.
Figura 111 - Esboo das principais correntes no Inversor VSI Trifsico.

S1

S3

S5

S2

S4

S6

Lb

Sb

Lac

Vac

Fonte: Prprio autor.

ISb avg = I LB .D

(60)

IDbavg = I LB .(1 D)

(61)

IS1avg =

Iac pico

(62)

ISb rms = I LB . D

(63)

IDb avg = I LB . 1 D

(64)

IS1rms =

Iac pico
2

(65)

O grfico da curva estimada de eficincia deste conversor incluindo-se tambm as


perdas nos elementos magnticos, para diferentes semicondutores apresentado na Figura
112.
Figura 112 - Estimativa das Perdas para alguns Semicondutores.

Fonte: Prprio autor.

O grfico de comparao entre a eficincia estimada das duas topologias, para a


melhor configurao de semicondutores, apresentado na Figura 113. Verifica-se que existe

137

um nvel de potncia a partir do qual o uso do Inversor Boost Tri-State se torna mais
competitivo do que o usual VSI trifsico, situando-se na faixa a partir de 1,6kW. Plota-se
tambm, para efeitos comparativos, as curvas de eficincia do conversor VSI contemplando
duas topologias de filtros de sada, o Lac e o LCLac, juntamente com a eficincia do CSI
trifsico. Com esta nova anlise se verifica que a topologia CSI se torna mais atrativa em
termos de eficincia a partir de 1,25kW com relao a topologia VSI com filtro LCLac (filtro
mais utilizado).
Figura 113 - Comparao entre as melhores eficincias do CSI e do VSI trifsicos.
100

Rendimento(%)

VSI
95

CSI
90

MOSFET SPW47N60C3 +
Diodo Schottky IDH08S60
85
500

1000

1500

2000

2500

Potncia (W)

Fonte: Prprio autor.


Figura 114 - Comparao entre as melhores eficincias com duas topologias de filtros para o VSI.

Fonte: Prprio autor.

138

5.3 Controle do Inversor Tri-State


Como potencial do trabalho verifica-se que a modulao Tri-State quando aplicada ao
inversor trifsico permite que entrada e sada sejam controladas de forma independente. A
corrente no indutor Boost ser controlada prioritariamente pela razo cclica D1, enquanto que
a sada ser controlada pela razo cclica D2. D2.T refere-se ao tempo de transferncia de
energia dos vetores espaciais adjacentes (Ta e Tb). O diagrama da Figura 115, obtido com as
equaes de estado (46), ilustra este conceito para o sistema simplificado, que considera a
tenso Vo como a tenso refletida no barramento CC da estrutura. Observa-se que mantendo a
corrente do indutor constante, a nica varivel que altera a tenso de sada a razo cclica
D2, e, desta forma, utilizando D2 apenas para controlar a sada do conversor, D1 fica
responsvel pelo controle da corrente de entrada, tendo D2 uma pequena influncia nesta
varivel.
Figura 115 - Diagrama de blocos simplificado para o conversor.
Malha de Corrente

Vin

d1

I LB

1
rLB + sLB

Vo

Io
1
sC o

Cte

d2

Malha de Tenso

Fonte: Prprio autor.

Como a sada trifsica e no monofsica como no diagrama simplificado, utilizado


apenas para exemplificar que o controle da sada pode ser feito de forma independente e
utilizando somente a razo cclica D2, o controle da sada do inversor trifsico Boost Tri-State
ser baseado na transformada dq0 [BOLOGNANI; ZIGLIOTTO, 2002; LISERRE et al.,
2003; COLLI et al., 2006]. Isto torna o controle muito atrativo uma vez que conjuntos de
variveis trifsicas podem ser transformadas em quantidades contnuas (CC). O diagrama de
blocos do sistema de controle, para o modo conectado rede, apresentado na Figura 116.
Todos os conjuntos de variveis trifsicas foram reduzidos para conjuntos de duas variveis
mensuradas.

139

Figura 116 - Diagrama de blocos para o controle no modo conectado.


S aux
Lac

Vdc

Cac

CSI
Bridge

Lb

Vac

ILb

Ref_Id

Igrida Igridb

Vca Vcb

Vgrida Vgridb

Idgrid

Igrida

abc to dq

Igridb

Sub

Control

Iqgrid

Add

Sub

Control

Sin,
Cos

Control

Sub

Add

Sub

Control

abc to dq

Gates
1

Sin,
Cos

Vdgrid

Vgrida

<V

Ref_Iq

Vgridb

|V|

dq to

ILb

D1

Sub

Vqgrid

Control

ILb_ref
Vca
Vcb

PLL

Sin,Cos

Sin,
Cos

Vdgrid
Vca
Vcb

abc to dq

Controle Entrada

Vqgrid

Fonte: Prprio autor.

Conforme diagrama de blocos, o controle da corrente de entrada realizado de forma


independente da corrente injetada na rede e este controle responsvel pelo tempo de curto
D1. O controle da corrente injetada baseado nas transformadas de Clarke e Park. Este
controle dividido em trs etapas, sendo elas denominadas de controle da corrente no indutor
de rede, controle feedfoward e controle da tenso sobre os capacitores CA. A sada do
controlador da corrente no indutor de rede produz a tenso de referncia para os controladores
da tenso dos capacitores CA, que possuem o controle feedfoward para garantir a eficcia do
esquema proposto. Como as tenses da rede tm que ser mensuradas para garantir a
frequncia e a fase corretas para o controle, interessante utilizar estas aquisies para
realizar o controle feedfoward. Este controle mantm a corrente nula quando a corrente de
referncia zero, reproduzindo a tenso da rede nos capacitores CA de sada. Para operar no
modo isolado o sistema de controle reduzido e os blocos referentes a injeo de corrente na
rede e PLL so removidos.

5.3.1 Controle da Corrente de Entrada


A funo de transferncia que rege o controle da entrada, apenas em funo de D1 e
considerando Vo constante, obtida atravs do diagrama da Figura 115, sendo representada
pela equao (66).

Gid1 =

Vin
ILB
=
d1 sLB + rLB

(66)

140

A partir desta funo de transferncia e considerando uma fonte de tenso constante na


entrada, o controlador de corrente poderia ser projetado para estabilizar a corrente no indutor
Boost. Entretanto, como a alimentao provm de um conjunto de painis fotovoltaicos, um
controlador clssico no ser utilizado, e sim, um algoritmo de MPPT que atuar diretamente
no controle da razo cclica principal D1.

5.3.2 Transformadas 0e dq0

Em diversas aplicaes o controle de conversores trifsicos realizado em bases dq0.


Duas transformadas so utilizadas, a primeira, a de Clarke, transforma o sistema abc em 0
estacionrio; a segunda, a de Park, transforma o sistema 0 em dq0 girante a uma velocidade
s. Esta velocidade, se escolhida apropriadamente, transforma as variveis em grandezas
contnuas no tempo. A Figura 117 ilustra estas transformaes e as transformadas so
apresentadas em (67) e (68), considerando-se a amplitude unitria.
Figura 117 - Esboo das transformadas no referencial sncrono.
Referncial Sncrono

Vb
V
120

V
120
120

Vq

Vq

30

Va
60

V
Vd

Vc

Va

T0 dq0

Tabc 0

Vd
V
Vb

Vc
Fonte: Prprio autor.

V
V = 2 0
3
1
V0
2

Vd cos
V = sen
q
V0 0

3
1

2
2

2
3
2
1
2

sen
cos
0

Va

Vb
Vc

0 V
0 V
1 Vc

(67)

(68)

Estas transformadas podem ser associadas a fim de se obter uma matriz direta de
transformada do sistema abc para dq0, denominada de T e representada por (69).

141

(
)
sen ( -120 )

cos

T = 2 sen
3
1
2

(
(

)
)

cos +120o

sen +120o

1
2

cos -120o

(69)

5.3.1 Controle da Corrente de Sada

O controle da sada deste conversor, tanto para controlar tenses quanto para controlar
correntes trifsicas, depende unicamente da razo cclica D2, representante dos vetores
espaciais adjacentes. O modelo para a obteno das funes de transferncia para o controle
da sada fica melhor compreendido pelo diagrama da Figura 118.

Figura 118 - Circuitos Equivalentes.

Lac

Vin

Vac

Lb

Cac

Fonte: Prprio autor.

A somatria das tenses na sada do conversor nula, sendo assim, a tenso sobre os
capacitores de rede ditam a seguinte igualdade matricial:

VC a ra
VC = 0
b
VC c 0

0 ILa La
0 ILb + 0
rc ILc 0

0
rb
0

0
Lb
0

0 ILa E a
d
0 ILb + Eb
dt
Lc ILc E c

(70)

Considerando os elementos resistivos e magnticos iguais para cada fase, resume-se :


VC a r
VC = 0
b
VC c 0

0
r
0

0 ILa L
0 ILb + 0
r ILc 0

0
L
0

0
d
0
dt
L

ILa E a
IL + E
b b
ILc E c

(71)

Aplicando a transformada T ao conjunto de matrizes (71):


VCa r 0 0 ILa L
T . VCb = 0 r 0 T . ILb + 0
VCc 0 0 r ILc 0

0
L
0

0
ILa
Ea
d 1

0 T . T ILb + T . Eb
dt
ILc
Ec
L

(72)

142

VCa r 0 0 ILa L
T . VCb = 0 r 0 T . ILb + 0
VCc 0 0 r ILc 0
VCd r 0 0 ILd L
VC = 0 r 0 IL + 0
q
q
VC0 0 0 r IL0 0

VCd r 0 0 ILd L
VC = 0 r 0 IL + 0
q
q
VC0 0 0 r IL0 0

0
L
0

0
L
0

0
ILd
Ea
d 1

0 T . T ILq + T . Eb
dt
IL0
Ec
L

0
L
0

0
dT 1

0 T.
dt
L

0 0

0
L 0

ILd
IL + T .T 1 . d
q
dt
IL0

ILd Ed
IL + E
q q
IL0 E0

(73)

(74)

ILd Ed
0 ILd
d

0 ILq + ILq + Eq (75)


dt

0 IL0
IL0 E0

0
0

Considerando o sistema simtrico equilibrado:

VCd r 0 ILd L 0 0 ILd d ILd Ed


VC =
+ +
+

q 0 r ILq 0 L 0 ILq dt ILq Eq

(76)

A somatria das correntes nos ns abc nula, e desta forma tem-se que as correntes de
rede ditam a imediata igualdade matricial:
ILa ILb .d 2 a C a
IL = IL .d 0
b b 2b
ILc ILc .d 2 c 0

0
d
0
dt
C c

0
Cb
0

VC a
VC
b
VC c

(77)

Considerando os elementos magnticos iguais para cada fase, (77) resume-se :


ILa ILb .d 2 a C
IL = IL .d 0
b b 2b
ILc ILc .d 2 c 0

0
d
0
dt
C

0
C
0

VC a
VC
b
VC c

(78)

Aplicando a transformada T ao conjunto de matrizes (78):

ILa
ILb .d 2 a C 0 0
VCd
d 1

T . ILb = T . ILb .d 2b 0 C 0 T . T VCq


dt

ILc
ILc .d 2 c 0 0 C
VC0

ILd ILb .d 2 d C
IL = IL .d 0
q b 2q
IL0 ILc .d 20 0

0
C

ILd ILb .d 2 d C
IL = IL .d 0
q b 2q
IL0 ILc .d 20 0

0
C

0
VCd
dT 1

+ T .T 1 . d
0 T.
VC
q

dt
dt
VC0
C
00

0
C 0

0
0

Considerando o sistema simtrico equilibrado:

0 VCd
d
0 VCq +
dt
0 VC0

(79)

VCd
VC
q
VC0

(80)

VCd
VC
q
VC0

(81)

143

ILd ILb .d 2 d C
IL = IL .d
q b 2q 0

VC d d VC d

0 VC q dt VC q

0 0

(82)

As igualdades matriciais (76) e (82) representam a sada do conversor em bases dq0.


Reescrevendo o conjunto de equaes (76), tem-se:
dILd

r.ILd + L dt = VCd + .L.ILq Ed

r.IL + L dILq = VC .L.IL E


q
q
d
q

dt

(83)

As tenses da rede so consideradas equilibradas e ainda, o controle do inversor


projetado para a injeo de potncia ativa na rede, desta forma (83) se reduz a (84).
dILd

= VC d E d
r .ILd + L
dt

r .ILq = VC q .L.ILd

(84)

Aplicando-se a transformada de laplace a (84):


ILd ( r + s. L ) = VC d E d

ILq ( r + s.L ) = VC q + .L.ILd

(85)

Verifica-se que existe um acoplamento direto entre as equaes dos eixos d e q, e por
este motivo, necessrio realizar o desacoplamento para que os sistemas se tornem
independentes. Para isto, em 85(a) realizada a realimentao direta de Ed e para 85(b)
necessrio realizar a realimentao direta de -LILd. Assim, as equaes que regem as
variaes da corrente na rede pelas variaes na tenso do capacitor so dadas a seguir, para
os eixos d e q.

Givd =

ILD
1
=
VCd sL + r

Givq =

ILq
VCq

1
sL + r

(86)

(87)

Reescrevendo o conjunto de equaes (82), tem-se:


dVCd
C . dt = .C .VCq + ILb .d 2 d ILd

C . dVCq = .C .VC + IL .d IL
d
b 2q
q

dt

(88)

Aplicando-se a transformada de laplace a (88):


s.C .VC d = .C .VCq + ILb .d 2 d ILd

s.C .VCq = .C .VCd + ILb .d 2 q ILq

(89)

144

Verifica-se que existe um acoplamento direto entre as equaes dos eixos d e q


tambm neste conjunto de equaes. O acoplamento capacitivo desprezvel uma vez que os
capacitores de rede so da ordem de F, e, a corrente de eixo em quadratura nula devido a
ao de controle. Assim, realizada a realimentao direta de ILd somente em 89(a). As
equaes que regem as variaes da tenso no capacitor de rede em funo da razo cclica D2
so dadas por (90) e (91).

Gvd d2 d =

VCd ILb
=
d2d s.C

Gvq d 2 q =

VCq
d2q

ILb
s.C

(90)

(91)

Os conjuntos de funes de transferncia (86), (87), (90) e (91) ditam o


comportamento do controle da sada do conversor num sistema a duas malhas. No entanto,
requer uma maior quantidade de sensores alm dos sensores de corrente de rede e de tenso
de rede, que so os sensores de tenso nos capacitores AC. O interessante desta abordagem
reproduzir a tenso da rede sobre os capacitores AC diminuindo a necessidade de malhas de
atuao mais rpidas. Caso seja necessria a eliminao dos sensores de tenso dos
capacitores AC, a sada do conversor pode ser controlada de forma um pouco mais complexa
com apenas uma malha de controle. Assim, as funes de transferncia que regem este
controle so obtidas pela manipulao das equaes (76) e (82) com o devido
desacoplamento, levando s equaes (92) e (93).

Gid d2 d =

Id
ILb
= 2
d2 d s .L.C + r.s.C + 1

Giq d 2 q =

Iq
d2q

ILb
s .L.C + r.s.C + 1
2

(92)

(93)

145

Buck-Boost Tri-State

A topologia do inversor trifsico Buck-Boost Tri-State (BRITO; CANESIN, 2013)


pode ser visualizada naFigura 119, para o caso conectado rede. Nesta topologia no
necessrio adicionar um diodo srie chave principal Sp, j que esta no necessita bloquear
tenses reversas.
Figura 119 - Inversor Integrado Buck-Boost Tri-State operando conectado rede.

Sp

S1

S2

S3

S4

S5

S6

Lac

Vac

Vin

LB

Cac
Fonte: Prprio autor.

Os trs estados de operao deste inversor podem ser visualizados atravs da Figura
120, considerando-se apenas a alimentao de uma fase. O estado de curto-circuito
responsvel pela carga do indutor Buck-Boost; o estado ativo se encarrega de alimentar a
carga e o filtro de sada enquanto que o estado de roda-livre responsvel pela manuteno da
energia sobre o indutor. O intervalo de curto-circuito dura D1.T; o estado ativo ocorre durante
D2.T e o estado de roda-livre se estende durante (1-D1-D2).T. T representa o perodo de
chaveamento e o modo de operao baseado no modo de conduo contnua (MCC).
Igualmente ao inversor Boost, o intervalo de tempo D2.T se divide em Ta e Tb, que so os
intervalos de tempo de envio de energia para a sada. Estes representam os vetores adjacentes
de cada setor da modulao espacial. A modulao aplicada a este inversor a mesma do item
5.1. A diferena principal se concentra no modulador de distribuio de pulsos que permite o
correto acionamento das chaves. Para este inversor, interessante distribuir igualmente o
tempo de mantm entre as chaves da ponte CSI a fim de equalizar as perdas e a distribuio
de calor. A Tabela 9 apresenta o padro de pulsos de chaveamento considerando a mesma
palavra de 6 bits de entrada usada para exemplificar o chaveamento do inversor Boost.

146

Figura 120 - Etapas de operao do Inversor Integrado Buck-Boost Tri-State.


Sp

Lac

S1

Vac

Lac

S1

Vac

Lac

Vin

Lb

Lb

Cac

S5

Cac

Lb

Cac

S4

Fonte: Prprio autor.


Tabela 9 - Codificao para seleo dos estados de chaveamento do inversor Buck-Boost.

Entradas

Sadas

Sec2

Sec1

Sec0

Ta

Tb

Sp

S1

S2

S3

S4

S5

S6

Fonte: Prprio autor.

Vac

147

5.4 Anlise Qualitativa do Inversor


5.4.1 Ganho esttico

As equaes de estado mdia deste conversor so apresentadas em (94) baseando-se


nos estados topolgicos da Figura 120. Como o conversor designado para injeo de
corrente na rede, a indutncia de conexo e a prpria rede so considerados como uma fonte
de corrente (Io). Nestas equaes, assim como no inversor Boost Integrado Tri-State, Vo
tambm representa a tenso refletida no barramento CC, e, a sada do sistema solucionada
pelo equivalente monofsico.
LB .I&LB = Vin .D1 Vo .D2 + 0.(1 D1 D2 )

Cac .V&o = I o .D1 + ( I LB I o ).D2 I o .(1 D1 D2 )

(94)

Simplificando-se as equaes acima e escrevendo-as em sua forma matricial chega-se


a (95):

I&LB 0
& =
Vo D2
Cac

D2

D1
LB I LB LB
. +
V
0 o 0

0 V
in
.
1 I o
Cac

(95)

A partir de (95) e sabendo-se que a corrente mdia no capacitor e a tenso mdia no


indutor so nulas em um perodo de chaveamento, obtm-se a corrente mdia no indutor em
funo da potncia de sada (Po) e da tenso de linha (VLinha), alm do ganho esttico:
Po
I LB =

Io

D2

G=

Vo

3.VLinha
D2

Vin

D1
D2

(96)

(97)

Verifica-se a partir de (97) que este conversor apresenta uma funcionalidade


interessante para obteno do ganho esttico uma vez que 2 razes cclicas de controle (D1 e
D2) so responsveis por gerar este ganho. Na Figura 121 mostra-se o grfico do ganho
esttico deste conversor, variando-se D1 e D2, juntamente com o ganho do conversor
integrado Buck-Boost convencional. Na Figura 122 apresenta-se o ganho esttico em funo
do tempo de roda-livre (Dnull) da corrente no indutor em funo da razo cclica D1.

148

Ganho Esttico Vo/Vin

Figura 121 - Ganho esttico para diferentes combinaes de D1 e D2.

Fonte: Prprio autor.


Figura 122 - Ganho esttico para diferentes combinaes de D1 e Dnull.
30

Dnull=0,15

Dnull=0,45
25

Dnull=0,3
20

Dnull=0
Dnull=0,6

15

10

Convencional
0
0

0,1

0,2

0,3

0,4

0,5

0,6

0,7

0,8

0,9

Razo Cclica D1

Fonte: Prprio autor.

Atravs de (97) verifica-se que quando D2 tende a zero o ganho tende ao infinito e
conseqentemente por (96) a corrente no indutor tambm tende ao infinito. Assim, verifica-se
que neste conversor o ganho de tenso est relacionado diretamente ao ganho de corrente que
ocorre no indutor Buck-Boost. Contudo, as perdas na resistncia do indutor levam a uma
reduo efetiva na corrente neste indutor e conseqentemente no ganho de tenso prtico.
Incluindo a resistncia srie do indutor no modelo em espao de estados mdio, tem-se:
LB .I&LB = (Vin RLB .I LB ).D1 + ( Vo RLB .I LB ).D2 + 0.(1 D1 D2 )

Cac .V&o = I o .D1 + ( I LB I o ).D2 I o .(1 D1 D2 )

(98)

LB .I&LB = Vin .D1 Vo .D2 RLB .I LB

C ac .V&o = I o + I LB .D2

(99)

149

RLB
I&LB
LB
& =
Vo D2
Cac

D2

( D1 + D2 )
LB I LB
LB
. +
V
0
0 o

0 V
in
.
1 I o
Cac

(100)

Isolando ILB em 99(b), substituindo em 99(a) e considerando uma carga resistiva


equivalente para a corrente de sada Io (Ro), tem-se para o novo ganho esttico:
G=

Vo

Vin

D1

=
D2 +

RLB

1
.
RO D
2

(101)

Verifica-se que o ganho real depende da relao entre a resistncia do indutor e a


resistncia aparente de carga. Na Figura 123 apresenta-se este ganho esttico para algumas
condies de resistncia do indutor para a mesma carga aparente e considerando D2=0,15.

Ganho Esttico Vo/Vin

Figura 123 - Ganho esttico real considerando as perdas no indutor.

Fonte: Prprio autor.

5.4.2 Estimativa de Eficincia do Inversor

Para realizar o clculo da eficincia do inversor foram considerados diferentes


semicondutores, incluindo IGBTS, MOSFETS e diodos do tipo SCHOTTKY. Tambm foram
consideradas as perdas resistivas nos elementos magnticos, sendo eles: indutor Buck-Boost,
indutores de acoplamento de rede, capacitores AC de rede e capacitor de desacoplamento do
PV. Desta forma, o clculo das correntes mdias e eficazes nos diferentes elementos se faz
necessria, e, segue o diagrama da Figura 124.

150

Figura 124 - Esboo das principais correntes no Inversor.

Sp

Lb

S1

S2

S3

S4

S5

S6

Lac

Vac

Cac
Fonte: Prprio autor.

Para o clculo dos valores eficazes e mdios das correntes nos semicondutores
necessrio o clculo das grandezas em um perodo de chaveamento e posteriormente seu
clculo no perodo de rede, isto para as chaves S1 S6. Sp opera somente no perodo de
chaveamento. Assim para a chave S1 tem-se:
IS1avg _ T =

IS1avg _ f rede

1
=
2

IS1rms _ f rede =

I LB .dt = I LB .(1 D1 )

(102)

I LB .(1 D1 ).d (t ) =

1
IS1rms _ T =
T
1
2

(1 D1 ).T

1
T

(1 D1 ).T

(
3

I LB .(1 D1 )
3

I LB 2 .dt = I LB . (1 D1 )

(103)

(104)

I LB .(1 D1 )

) .d (t ) =
2

I LB . (1 D1 )

(105)

As grandezas calculadas para S1 valem para todas as chaves da ponte CSI, apesar do
formato das correntes que fluem pelas chaves S4 S6 diferirem, seus valores eficazes e
mdios no. Isto garantido pela modulao que faz uma diviso igualitria de esforos. Para
Sp tem-se:
1
IS p avg =
T

1
IS p rms =
T

( D1 ).T

I LB .dt = I LB .D1

(106)

( I LB )2 .dt = I LB . D1

(107)

D1 .T

O grfico da curva de eficincia, supondo uma potncia mxima de 2,5kW com tenso
de entrada em 100V, para diferentes semicondutores, apresentado na Figura 125.

151

Rendimento (%)

Figura 125 - Estimativa das perdas para alguns Semicondutores.

Fonte: Prprio autor.

O grfico comparativo da curva de eficincia, para a melhor opo de semicondutores


analisados juntamente com a topologia usual VSI, com os filtros LCac e LCLac demonstrado
na Figura 126. Verifica-se que existe um nvel de potncia a partir do qual o uso do Inversor
Buck-Boost Tri-State se torna mais competitivo do que o usual VSI trifsico, situando-se na
faixa a partir de 1kW quando a opo de filtro de sada o LCLac (filtro usual) e esta faixa de
potncia se altera para 1,8kW quando o filtro de sada escolhido o LCac.
Figura 126 - Comparao entre as melhores eficincias com duas topologias de filtros para o VSI.
98
97

VSI+Lac

96

Rendimento(%)

95
94
93
92

CSI

91
90
89
88
87
500

MOSFET SPW47N60C3 +
SCHOTTKY Diode
IDH08S60

1000

VSI + LCLac

1500

Potncia(W)

Fonte: Prprio autor.

5.5 Controle do Inversor

2000

2500

152

O controle da corrente de sada deste conversor obedece s mesmas funes de


transferncia do inversor integrado Boost Tri-state, uma vez que devido modulao a
entrada do conversor controlada para prover corrente constante no indutor Buck-Boost,
sendo a entrada uma fonte de corrente para a ponte de sada CSI. No entanto, o controle da
corrente de entrada mais simples e pode ser controlado de tal forma a depender nica e
exclusivamente da razo cclica principal D1. O diagrama de blocos simplificado para o
controle da entrada apresentado na Figura 127, onde se verifica a interferncia direta de D1
na formao da corrente do indutor.
Figura 127 - Diagrama de blocos simplificado para o inversor Buck-Boost
Malha de Corrente

Vin

I LB

1
rLB + sLB

Vo

Io

1
sC o

d1

Cte

d2

Malha de Tenso

Fonte: Prprio autor.

5.5.1 - Controle em Conexo aos Painis Solares


O controle destes inversores quando realizam a interface entre os painis solares pode
ser obtido de maneira semelhante ao conversor de dois estgios convencional. No sistema
convencional, o algoritmo de MPPT fornece a razo cclica de trabalho para o estgio CC-CC
de forma a drenar corrente constante do PV e com valor eficaz tal que este esteja operando no
ponto de mxima potncia. O segundo estgio controla a tenso do elo CC intermedirio que
projetado para oscilar em torno de um valor mdio indicando a corrente que deve ser
injetada na rede. Esta malha de controle denominada de Malha de Potncia. Para o caso dos
inversores Tri-State a entrada controlada pelo algoritmo de MPPT e a malha de potncia
controlada em corrente e define o valor mdio de corrente sobre o indutor de acumulao. A
sada desta malha serve como referncia para o controle da sada (BRITO; CANESIN, 2013).
Para o inversor Buck-Boost Tri-State a funo de transferncia que rege a malha de controle
de injeo de potncia obtida a partir do balano de energia do conversor, sendo apresentada
conforme segue:

153

Pin =

Pout

(108)

D1.ILB .Vin =

I p .V p

(109)

2.

Para manter a corrente ILB constante, a corrente injetada na rede e a razo cclica devem
variar. Assim:

ILB =

I p .V p

(110)

D1 .2. .Vin

A variao na razo cclica pode ser obtida conforme segue:

VLB

d
s.L . IL B
= LB ILB D1 = B
dt
Vin

(111)

Substituindo em (110) obtm-se a planta da malha de potncia (112).

IL B

Ip

Vp
2 ILB

1
sLB

(112)

5.6 Simulao do Inversor Buck-Boost Tri-State

5.6.1 - Alimentando carga local e rede

Nesta seo so apresentados alguns resultados de simulao para o inversor BuckBoost Tri-State. Inicialmente este inversor alimenta uma carga local e um degrau de potncia
aplicado ao sistema. Na sequncia, o mesmo testado injetando potncia ativa na rede. As
principais formas de onda para estes cenrios so apresentadas na sequncia.

154

Figura 128 - Tenses e correntes na carga local durante degrau de carga.

Fonte: Prprio autor.


Figura 129 - Correntes injetadas na rede com rampa de inicializao.

Fonte: Prprio autor.


Figura 130 - Detalhe da corrente injetada na rede.

Fonte: Prprio autor.

155

Vout(V)

Figura 131 - Tenses nos capacitores de sada.

Fonte: Prprio autor.

Vout(V)

Figura 132 - Deslocamento angular entre as tenses da fase "a" do capacitor e da rede.

Fonte: Prprio autor.

156

5.6.2 - Alimentando a Rede e com Sistema de MPPT

Como a entrada dos inversores trifsicos Tri-State descontnua, um capacitor em


paralelo com o PV necessrio para filtrar o ripple de chaveamento. Assim, o modelo do
painel fotovoltaico foi modificado de forma a representar o PV como uma fonte de corrente
varivel com a insolao e a temperatura de sua superfcie. O algoritmo utilizado nesta
simulao o P&O convencional. Na Figura 133 apresenta-se a corrente no indutor de
acumulao e na Figura 134 e na Figura 135 mostram-se as formas de onda da potncia
drenada do PV e da corrente injetada na rede aplicando-se um perfil de irradiao solar e de
temperatura. A taxa de distoro harmnica para a corrente injetada varia de 3,5%,
considerando-se a menor insolao disponvel, 1,5% considerando-se a maior incidncia
disponvel. Algumas formas de onda interessantes destas simulaes podem ser verificadas na
sequncia. O Fator de rastreamento da ordem de 98% para este perfil. O modelo de
simulao apresentado na Figura 136.

Figura 133 - Corrente no indutor de acumulao.

Fonte: Prprio autor.

157

Figura 134 - Potncia drenada do PV

Fonte: Prprio autor.

Correntes(A)

Figura 135 - Corrente injetada na rede.

Fonte: Prprio autor.

158
Figura 136 - Modelo de Simulao incluindo o Painel Fotovoltaico.
Discrete,
Ts = 1e-006 s.
pow ergui

[A]
SinCos1

In2

Id irede

Vref _cap_d

Irede1

In1

Iq irede1

Vrede_d

In1

22

In2

Vd,v q

Vbeta

v beta

Mod1

|V|

Vdref ,Vqref

transformada dq
em alfa_beta

Transf. abc em dq
In2

Irede

Vd_cap

In2

-C-

Psun PV_Array Ipv

C2 -C-

IGBT

Ipv

vpv

Mtodo_P&O

Lrede
1

[C]

Gate

Out1
In1
In1

Vac

[B]

Conn1

Aux

Lin
B

Conn2

C
A

Ponte CSI

C
Lconex

Cf

Fonte: Prprio autor.

In3
Out3

Medies_Inversor

Vac2
Conn3

1
Iin

Out2

In2
In3

Vac1

C pv

Vrede

Vpv

ponte

Cs

To Workspace2

Iref

vgrid

Modelo_PV

To Workspace1

Vcaps

SinCos4

Medies_PV

vcaps

Transf. abc em dq1

Ipv

Ct3

Clock

Vq_cap

In1

[A]

tempo

irede
To Workspace

Sincos

[B]

3
Scope

Vpv

Aux

Modulao SVM
CSI Boost1

ref_Irede_q

Controle_Po

[C]
ponte1

aux

Controle_VC_com
foward_rede

Rede

Vout C fs

Vpv

Mod Angulo

Vcap_q
Vd_rede

Controle_Irede

In2

ref_IL

Out1

Angulo

sin cos2

ref _q

1
ILin1

Ang2

Vcap_d

Vref _cap_q

SinCos5

v alf a

[A]
SinCos

ref _d

[A]

Valf a

Ref _q_cap

Vq_irede

Transf. abc em dq2

Sin Cos

Ref _d_cap

Vd_irede

Subsystem1

To Workspace3

159

5.8 Resultados Experimentais


O arranjo experimental para os testes com o inversor Buck-Boost Tri-State
apresentado na Figura 137. E um destaque para o conversor apresentado na Figura 138. O
sistema de controle digital foi implementado no dispositivo dSPACE ACE1104, enquanto que
o clculo dos setores e das projees do vetor dentro do setor foi realizado com um kit DSC.
Finalmente a parte da modulao referente seleo dos pulsos foi implementada em um
FPGA de baixo custo XC3S200.
Figura 137 - Arranjo experimental para os testes com o inversor.

Fonte: Prprio autor.


Figura 138 - Detalhes da implementao do inversor.

Fonte: Prprio autor.

160

5.8.1 Modulao SVM - CSI

Os primeiros testes foram realizados com relao validao da modulao Space


Vector modificada do inversor Buck-Boost Tri-State. Na Figura 139 apresentam-se os sinais
digitais que so enviados do DSC para o FPGA atravs dos canais 0 5. Os canais 0 2
referem-se aos setores enquanto que os sinais 3, 4 e 5 referem-se ao clculo dos tempos D, Ta
e Tb. O FPGA realiza a seleo dos pulsos para o inversor, onde os canais 6 ao 11
representam os pulsos de chaveamento das chaves S0 S6. O ltimo sinal, composto de um
barramento de dados, que concatena os sinais 0 2, demonstrando que a modulao percorre
os setores do hexgono na sequncia correta de 1 6.
Figura 139 - Verificao dos sinais de controle digitais referentes modulao do inversor.

Fonte: Prprio autor utilizando o osciloscpio infiniium.

Os bits de entrada do FPGA, (D, Ta e Tb) operam de forma a indicar o tempo em que o
inversor deve permanecer nos estados de curto, de transferncia e de manuteno de energia
(mantm). Estas entradas operam na frequncia de chaveamento do inversor (20kHz). A
entrada para o FGPA apresentada na Figura 140 e a Tabela 10 apresenta a codificao para
o acionamento de cada estado. O acionamento dos pulsos de gate realizado conforme a
Tabela 9. Finalmente, um detalhe experimental da modulao em alta frequncia
apresentado na Figura 141.

161

Figura 140 - Sinais referentes aos tempos de curto, transferncia e mantm.

Fonte: Prprio autor.


Tabela 10 - Decodificao para seleo dos estados de chaveamento.

Sinais de Alta Frequncia

Sada

Ta

Tb

Estados

Curto

Tempo Ta

Tempo Tb

Mantm

Fonte: Prprio autor.

Figura 141 - Modulao em alta frequncia.

Fonte: Prprio autor utilizando o osciloscpio infiniium.

162

Atravs da Figura 141 verificam-se os bits 0 ao 2, indicando que o inversor opera no


setor 1. Os tempos de chaveamento D, Ta e Tb so verificados pelos sinais 3, 4, e 5. Onde o
estado de curto resulta no acionamento do sinal 6; o estado Ta resulta no acionamento dos
sinais 7 e 10; o estado Tb resulta no acionamento dos sinais 7 e 12 e o estado de mantm
resulta no acionamento dos sinais 7 e 8. Neste setor, este acionamento indica a seleo das
chaves S1, S4, S5 e S6 da ponte CSI, de acordo com a Tabela 9. Outro item muito importante
a implementao do tempo de short time importante para propiciar sempre um caminho de
conduo de corrente. Este intervalo de tempo pode ser visualizado pela Figura 142, onde se
verifica a sobreposio de pulsos durante a transio de nvel lgico alto para baixo.
Figura 142 - Pulsos de gate para o acionamento das chaves do inversor. Amarelo: Chave Sp; Verde: Chave S1;
Vermelho: Chave S5 e Rosa: Chave S4.

Fonte: Prprio autor utilizando o osciloscpio infiniium.

5.8.2 Operao com Carga Local

O inversor foi testado alimentando cargas trifsicas balanceadas e os principais


resultados so apresentados na sequncia. Um simulador de painis solares (TerraSAS) foi
utilizado como fonte de alimentao CC a fim de prover tenso de alimentao contnua com
certo nvel de corrente. Alm disso, o uso deste simulador muito interessante j que o
principal objetivo deste inversor o de servir como interface para conjuntos de painis solares

163

e a rede eltrica. A potncia mxima testada foi da ordem de 2kW, usando uma associao de
10 painis solares de 200W, na configurao de 2 ramos paralelos com 5 painis em srie.
Nesta potncia mxima testada, a potncia de pico ocorre para a tenso de 135V com 15A. A
Tabela 11 resume os dados desta associao, que se baseia na adaptao dos dados da Tabela
1.

Potncia Mxima

Tabela 11 - Caractersticas eltricas da associao dos painis.


Pmax = 2052Wp

Tenso no MPP

VMPP = 134,5V

Corrente no MPP

IMPP = 15,26A

Tenso de Circuito Aberto

Voc= 166V

Corrente de Curto-Circuito

Isc = 16,72A
Fonte: Prprio autor.

A Figura 143, a Figura 144 e a Figura 145 apresentam as formas de onda da corrente no
indutor Buck-Boost, juntamente com a tenso de sada e com as correntes em duas fases da
carga. A Figura 143 refere-se ao conversor operando com potncia de sada em torno de
950W, j a Figura 144 refere-se operao com potncia de sada em aproximadamente
1400W e na Figura 145 verifica-se a operao com a potncia de sada em torno de 1700W.
Para realizar as aquisies foi utilizado o osciloscpio Infiniium MSO8064A juntamente com
trs ponteiras isoladas de corrente e de 1 ponteira no isolada de baixa tenso. Devido ao uso
da ponteira no isolada de baixa tenso disponvel a medio da tenso de sada foi feita
diretamente no sensor isolado da LEM (LV-25P).

164

Figura 143 - Principais formas de onda para o inversor Buck-Boost - 967W. Ciano: Corrente no indutor
(5A/div); Amarelo: Tenso na fase "a" (medida no sensor LEM - 4,7V representam 180 Vpico); Verde: Corrente
na fase "a" (5A/div) e Vermelho: Corrente na fase "c" (5A/div). Tempo: 10ms/div.

Fonte: Prprio autor utilizando o osciloscpio infiniium.

Figura 144 - Principais formas de onda para o inversor Buck-Boost - 1445W. Ciano: Corrente no indutor
(5A/div); Amarelo: Tenso na fase "a" (medida no sensor LEM - 4,7V representam 180 Vpico); Verde: Corrente
na fase "a" (5A/div) e Vermelho: Corrente na fase "b" (5A/div). Tempo: (10ms/div).

Fonte: Prprio autor utilizando o osciloscpio infiniium.

165

Figura 145 - Principais formas de onda para o inversor Buck-Boost - 1722W. Ciano: Corrente no indutor
(5A/div); Amarelo: Tenso na fase "a" (medida no sensor LEM - 4,7V representam 180 Vpico); Verde: Corrente
na fase "a" (5A/div) e Vermelho: Corrente na fase "b" (5A/div). Tempo: (10ms/div).

Fonte: Prprio autor utilizando o osciloscpio infiniium.

Alterou-se a potncia de operao do inversor e adquiriram-se as trs correntes trifsicas


da carga utilizando-se das trs ponteiras de corrente disponveis para o osciloscpio Infiniium.
Estas correntes so apresentadas na Figura 146. A potncia de sada foi alterada de
aproximadamente 600W para at aproximadamente 1780W.

166

Figura 146 - Formas de onda para as correntes trifsicas de sada do inversor Buck-Boost. Verde: Corrente na
fase "a" (2A/div), Vermelho: Corrente na fase "b" (2A/div) e Rosa: Corrente na fase "c" (2A/div) Tempo:
(10ms/div). (a) at (f): potncia de sada aproximada em watts.

(a) 600W

(b) 800

(c) 950W

(d) 1220W

(e) 1580W

(f) 1780W

Fonte: Prprio autor utilizando o osciloscpio infiniium.

Adotou-se tambm o sistema de medio com o analisador de qualidade de energia


Fluke 435 II (detalhe verificado na Figura 147), que permitiu a verificao dos valores RMS
de tenso e de corrente, bem como do deslocamento angular do sistema trifsico (atravs de

167

fasores) e das distores harmnicas de tenso e de corrente na carga. Na Figura 148


apresentam-se os fasores de tenso e de corrente, onde se verifica a defasagem de 120 graus
eltricos entre cada fase, e, nas Figura 149 e 150 mostram-se as distores harmnicas totais
de tenso e de corrente na carga, sendo menores do que 1,7%.
Figura 147 - Detalhe do sistema de medio baseado no analisador de qualidade de energia Fluke.

Fonte: Prprio autor.


Figura 148 - Fasores de tenso e de correntes trifsicos.

Fonte: Prprio autor utilizando o sistema Fluke 435 II.

168

Figura 149 - Distoro harmnica total de tenso para cada fase.

Fonte: Prprio autor utilizando o sistema Fluke 435 II.


Figura 150 - Distoro harmnica total de corrente para cada fase.

Fonte: Prprio autor utilizando o sistema Fluke 435 II.

169

5.8.3 Desacoplamento entre entrada e sada

A partir da Figura 151 e da Fgura 152 possvel verificar o desacoplamento entre


entrada e sada obtida com o controle e a modulao do inversor. A Figura 151 apresenta os
degraus aplicados na corrente de entrada (corrente sobre o indutor Buck-Boost) enquanto que
as sadas (tenses e correntes trifsicas) permanecem constantes. Alm disso, a Figura 152
demonstra a mesma filosofia, mas os degraus so aplicados na sada do inversor enquanto que
a forma de onda de corrente sobre o indutor permanece constante. Os sobresinais verificados
so ocasionados pelas constantes de tempo dos compensadores. Estes resultados
experimentais provam a interessante funcionalidade de que as razes cclicas D1 e D2 podem
controlar de forma independente a entrada e a sada deste conversor, com pouca influncia
entre as variveis controladas.
Figura 151 - Degraus de corrente aplicados ao indutor Buck-Boost. Vermelho:corrente sobre o indutor;
Roxo:tenso de sada da fase 'a'. Verde e marrom: corrente na fase 'a' e 'b'. Tempo: 200ms/div.

Fonte: Prprio autor utilizando o osciloscpio infiniium.


Figura 152 - Degraus de tenso na sada do Inversor. Vermelho: corrente sobre o indutor Buck-Boost;
Roxo:tenso de sada da fase 'a'. Verde e marrom: corrente na fase 'a' e 'b'. Tempo: 500ms/div.

Fonte: Prprio autor utilizando o osciloscpio infiniium.

170

Para este inversor tambm foi implementado um protocolo de partida e de desligamento


suaves, conforme se verificam na Figura 153.
Figura 153 - Partida e desligamento suaves do inversor.

Fonte: Prprio autor utilizando o osciloscpio infiniium.

5.8.4 Eficincia do Inversor

O sistema para a medio da eficincia do inversor tambm foi baseado no Fluke 435 II.
A Figura 154 apresenta o grfico da avaliao do rendimento experimental, considerando a
potncia de entrada como parmetro. Para potncias de at 1kW o inversor tem eficincia
elevada, sendo acima de 94%. Para a potncia de 1,5kW, este apresenta eficincia de 92,5%.
Para a potncia de entrada de aproximadamente 2kW este apresenta eficincia de 89%. As
eficincias para alguns pontos deste grfico so apresentadas na Figura 155. Para a potncia
de entrada de aproximadamente 2kW (1780W de sada) mostra-se a interface grfica do
emulador de painis solares (TerraSAS), na Figura 156.

Eficincia (%)

Figura 154 - Curva da eficincia experimental do inversor. Em vermelho: destaque para as medies
apresentadas na Fig. 149.

Fonte: Prprio autor.

171

Figura 155 - Algumas eficincias medidas com o sistema Fluke 435 I

Fonte: Prprio autor utilizando o sistema Fluke 435 II.


Figura 156 - Interface grfica para utilizao do TerraSAS. Potncia mxima testada de 2kW

Fonte: Prprio autor utilizando o Emulador TerraSAS.

172

5.8.5 Operao com Conexo Rede

O inversor Buck-Boost Tri-State tambm foi testado em conexo com a rede de


distribuio de energia eltrica de baixa tenso. A configurao de sada do inversor est em
estrela, mas sem conexo fsica do neutro, configurando tenses de fase de 127 Vrms (220 de
linha). Para estes testes o inversor ainda alimenta uma carga de 300W enquanto injeta energia
na rede trifsica, configurando um inversor de dupla funo ao alimentar tanto cargas locais
atravs dos capacitores AC de sada como tambm ao injetar potncia na rede atravs dos
indutores de conexo. A potncia mxima injetada na rede nestes testes de 1300W. A Figura
157 mostra o arranjo experimental para os testes de conexo rede e de alimentao
simultnea da carga local. Na Figura 158 apresenta-se um detalhe para demonstrar a
localizao das medies no ponto de conexo com a rede eltrica.
Figura 157 - Arranjo experimental para os testes de conexo.

Fonte: Prprio autor.


Figura 158 - Detalhe da implementao experimental. Detalhe para o sistema de medio no ponto de conexo
com a rede eltrica.

Fonte: Prprio autor.

173

Antes da conexo do inversor rede eltrica necessrio sincronizar o sistema. Isto


realizado reproduzindo a tenso instantnea da rede nos capacitores AC de sada de forma
que, ao se conectar rede, no haja fluxo de potncia entre o sistema e a mesma. Ademais,
quando as tenses esto casadas evitam-se oscilaes entre os sistemas minimizando
problemas operacionais para o invesor e tambm para outras cargas conectadas rede. A
Figura 159 demonstra as tenses do inversor e da rede, para a fase "a", perfeitamente em fase,
instantes antes de se realizar a conexo do sistema rede eltrica. As ponteiras de corrente
esto localizadas de forma a indicar corrente positiva quando o inversor alimenta a rede.

Figura 159 - Sincronizao do inversor instantes antes da conexao rede. (a) Vermelho: Tenso da rede da fase
"a"; Rosa: Tenso no capacitor AC da fase "a".(b) Vermelho: Tenso da rede da fase "a"; Rosa: Tenso no
capacitor AC da fase "a"; Azul: Corrente no indutor de entrada e Amarelo: Corrente na Carga Local.

(a)

(b)

Fonte: Prprio autor utilizando o osciloscpio infiniium.

A Figura 160 apresenta as formas de onda de corrente injetadas na rede, para as fases
"a", "b" e "c", e, mostra tambm a tenso na fase "a" da rede em sincronismo com a corrente
da fase "a". Na Figura 161 mostram-se algumas destas formas de onda com menor escala de
tempo para melhor visualizao.

174

Figura 160 - Injeo de potncia na rede. Correntes nas fases "a", "b" e "c". Fase "a" em verde, fase "b" em
vermelho e fase "c" em rosa. Tenso na rede em amarelo. (600W at 1300W).

Fonte: Prprio autor utilizando o osciloscpio infiniium.

175

Figura 161 - Correntes injetadas na rede.

Fonte: Prprio autor utilizando o osciloscpio infiniium.

A Figura 162 apresenta a forma de onda de tenso no capacitor AC de sada


juntamente com a forma de onda da tenso da rede da fase "a" demonstrando o deslocamento
angular que existe entre estas formas de onda necessrio para a transferncia de potncia ativa
para a rede. Na Figura 163 tambm so apresentadas as formas de onda de corrente na fase
"a" da carga local e da rede, juntamente com as respectivas tenses.

176

Figura 162 - Deslocamento angular entre as formas de onda da tenso do capacitor da fase "a" em verde e da
rede para a fase "a" em amarelo.

Fonte: Prprio autor utilizando o osciloscpio infiniium.


Figura 163 - Tenso da rede da fase "a" juntamente com a corrente injetada na rede para a fase "a" e tenso da
fase "a" do capacitor juntamente com a corrente na carga local.

Fonte: Prprio autor utilizando o osciloscpio infiniium.

Com auxlio do medidor Fluke 435 II foi possvel medir o fator de potncia da
estrutura e a distoro harmnica total da corrente injetada na rede. Estes dados esto
apresentados na sequncia. O inversor apresenta fator de potncia unitrio para potncias
injetadas na rede acima de 600W, confirmando que existe o perfeito casamento entre a tenso
da rede e a corrente injetada, com deslocamento entre estas formas de onda praticamente nulo.
A distoro harmnica total da corrente injetada de 2,9% para a potncia de 1300W.

177

Figura 164 - Medio do fator de potncia (a) e da taxa de distoro harmnica (b), para a potncia de 740W.

(a)

(b)
Fonte: Prprio autor utilizando o sistema Fluke 435 II.

Figura 165 - Medio do fator de potncia (a) e da taxa de distoro harmnica (b), para a potncia de 1kW.

(a)

(b)
Fonte: Prprio autor utilizando o sistema Fluke 435 II.

Figura 166 - Medio do fator de potncia (a) e da taxa de distoro harmnica (b), para a potncia de 1,3kW.

(a)

(b)
Fonte: Prprio autor utilizando o sistema Fluke 435 II.

178

5.9 Concluses

Os inversores trifsicos Tri-State, quando modulados e controlados de forma


adequada, apresentam como vantagem significativa o controle independente entre entrada e
sada, tornando-os atrativos para realizar a interface com os painis solares. Isto ocorre uma
vez que a varivel de controle principal D1 responsvel pelo controle da corrente de entrada
enquanto que a varivel de controle D2 responsvel pelo envio de energia para a sada. A
reduo do volume dos elementos armazenadores de energia tambm interessante visto que
estes elementos so projetados em funo da frequncia de chaveamento e no mais em
funo da ondulao de potncia como no caso do inversor tri-state integrado monofsico,
traduzindo em maior vida til ao inversor. O rendimento obtido com a topologia Buck-Boost
Tri-State muito atraente para o intervalo de potncias entre 1 e 1,5kW, onde a associao de
painis no resulta em tenso suficiente para alimentar diretamente um conversor VSI e, desta
forma, necessria a elevao de tenso que inerente desta topologia.

179

Captulo 6
Concluses Gerais e Trabalhos Futuros

Foram avaliados os principais algoritmos de extrao da mxima potncia (MPP) dos


painis fotovoltaicos, tendo como destaque os mtodos Beta, P&O e IC modificados e IC e
P&O baseados em PI. Dentre estes, o mtodo Beta apresentou excelente desempenho global,
isto no que se refere ao excelente fator de rastreamento, menor ondulao de tenso em
regime permanente, simplicidade de implementao e bom desempenho dinmico. Verificouse que os melhores algoritmos, aqueles com maior fator de rastreamento, utilizam sensores de
tenso e de corrente. Ainda, qualquer mtodo de extrao da mxima potncia pode utilizar
controladores digitais para a melhora de desempenho sempre que for possvel minimizar
funes de erro, fato que torna os algoritmos baseados em PI (ou qualquer outro controlador)
interessantes para o aumento do fator de rastreamento e diminuio da ondulao em regime
permanente. Quando for necessria a reduo de custos, uma boa opo a utilizao do
mtodo da Temperatura, que substitui o sensor de corrente por um sensor de temperatura de
baixo custo, apesar de tornar este algoritmo dependente das caractersticas VxI dos Painis.
Em relao aos conversores, a tendncia de que os inversores integrados sejam as
topologias mais avaliadas em virtude da reduo de elementos e de compactao aumentando
a vida til do sistema de energia fotovoltaica. No futuro, com o desenvolvimento contnuo dos
semicondutores de potncia, uma maior disseminao das topologias CSI dever ser
observada pelo uso dos RB-IGBTs, os quais, ainda, no atendem aos requisitos mnimos de
desempenho necessrios para sua utilizao com maiores frequncias de chaveamento. O
barramento comum para estes inversores tende a ser a rede, uma vez que a mesma pode
absorver qualquer quantidade de energia disponvel, e, o inversor no necessita de
armazenadores de energia, como no caso dos inversores para operao isolada.
Nos conversores de duplo estgio, cada conversor responsvel por uma tarefa em
especfico, sendo o primeiro pela elevao da tenso do PV e pela busca do MPP, e o segundo
pela inverso e injeo de corrente senoidal na rede. Neste tipo de conversor, o
desacoplamento de potncia realizado pelo capacitor do elo CC, e cada algoritmo pode ser

180

implementado para realizar sua tarefa com mxima eficincia. No caso dos conversores
integrados, o conversor deve realizar a elevao e inverso da tenso de forma a injetar
corrente senoidal na rede, buscando tambm o MPP, reduzindo o desempenho dos algoritmos
e resultando na necessidade de um compromisso entre os objetivos principais para a
aplicao. Adicionalmente, o desacoplamento de potncia deve ser realizado com um
capacitor em paralelo com o PV, de grande valor capacitivo, porm, de baixa tenso.
O prvio estudo das topologias monofsicas direcionou a escolha do inversor BuckBoost integrado de 3 estados, isto em virtude da excelente forma de onda de corrente injetada
na rede, com reduzido contedo harmnico; possibilidade de controle em modo tenso e bom
fator de rastreamento. Adicionalmente, com a tcnica de 3 estados (Tri-State), o
desacoplamento indutivo, eliminando-se a necessidade do elevado valor de capacitncia em
paralelo com os PVs e possibilita ainda o controle independente entre entrada e sada;
contudo, resultando em maior volume para o elemento indutivo. Entretanto, h de se observar
que a vida mdia (til) dos conversores chaveados fortemente influenciada pela vida til dos
elementos capacitivos, e, portanto, estima-se que a vida til seja maior para estruturas com
menores necessidades de elementos capacitivos.
Os inversores trifsicos tri-state, quando modulados e controlados adequadamente,
apresentam caractersticas interessantes para a conexo aos painis solares, citando controle
independente entre entrada e sada, reduo do volume dos elementos armazenadores de
energia e aumento da densidade de potncia, isto quando comparado ao caso dos inversores
integrados monofsicos. Com relao topologia VSI, que a soluo mais utilizada, o
inversor Buck-Boost Tri-State se apresenta como concorrente para potncias de sada na faixa
de 1 1,5kW. Isto decorre do seu bom rendimento para mdias potncias, onde normalmente
uma associao srie de paneis no produzir tenso suficiente para alimentar um invesor
VSI sem um conversor elevador associado, que reduzir a eficincia global do sistema.
Ainda, a associao srie dos diodos com as chaves da ponte CSI a fim de prover
bloqueio de tenses reversas traduz em maior custo e de dificuldades de montagem para este
inversor trifsico. Atualmente, os RB-IGBTs no permitem a operao na faixa de frequncia
que a aplicao necessita. No entanto, estes semicondutores tendem a evoluir e se apresentar
como soluo para a montagem da ponte CSI. Outra dificuldade para montagem em srie
deste tipo de inversor que no existem circuitos de ataque de gate especficos para
inversores CSIs e sua modulao tambm no convencional, devido insero e de
equalizao dos tempos de mantm.

181

Este conversor pode evoluir com tcnicas de controle mais sofisticadas como a de
realimentao de estados via desigualdades lineares matricias ou at mesmo a utilizao de
controladores mais robustos com a adio de incertezas. Ainda, este inversor pode ser
preparado para o controle simultneo de potncia ativa e reativa. Uma outra possibilidade
interessante a inserco de outro caminho paralelo, com a adio de mais uma chave e de
mais um indutor de forma a fazer a interface com outra fonte de energia alternativa, tal como
uma clula combustvel ou um gerador elico. Ainda, estas chaves podem operar em
entrelaamento, diminuindo o ripple de corrente para a ponte trifsica CSI.
Finalmente, destaca-se que a busca por solues cada vez mais eficientes e que
produzam menor impacto ambiental para realizar a interface entre as fontes alternativas de
energia e a rede de distribuio de eltrica fomentar as pesquisas nos prximos anos.

182

Referncias

ALONSO, R.; IBANEZ, P.; MARTINEZ, V.; ROMEN, E.; SANZ, A. An innovative perturb, observe and check
algorith for partially shaded PV systems. In: EPE CONFERENCE, 13., 2009, Barcelona. Proceedings...
Barcelona: IEEE, 2009. p. 1-8.
AGNCIA NACIONAL DE ENERGIA ELTRICA - ANEEL. Energia solar. [S.l:s.n], 2003. Disponvel em:
<http://www.aneel.gov.br/aplicacoes/atlas/pdf/ >. Acessado em: 10 Maio 2009.
BALAGUER, I. J.; KIM, H.; PENG, F. Z.; ORTIZ, E. I. Survey of photovoltaic power island detection methods.
In: INDUSTRIAL ELECTRONICS CONFERENCE - IECON, 34., 2008, Orlando. Proceedings Orlando:
IEEE, 2008. p. 2247-2252.
BARBI, I. Eletrnica de potncia: projeto de fontes chaveadas. Florianpolis: Editora do Autor, 2001, 250p.
BOWER, W. Evaluation of islanding detections methods for photovoltaic utility-interactive power systems.
[S.l:s.n], 2002. Disponvel em: < http://prod.sandia.gov/techlib/access-control.cgi>. Acessado em: 10 maio 2009.
BOLOGNANI, S.; ZIGLIOTTO, M. A space-vector approach to the analysis and design of three-phase current
controllers. In: IEEE INTERNATIONAL SYMPOSIUM ON INDUSTRIAL ELECTRONICS, 20., 2002,
L'Auila. Proceedings... L'Aquila: IEEE, 2002, p. 645-650.
BRITO, M. A. G.; SAMPAIO, L. P.; JUNIOR, L. G; CANESIN, C. A. Inversor CS boost monofsico em
aplicaes com fontes renovveis. In: INTERNATIONAL CONFERENCE ON INDUSTRY APPLICATIONS INDUSCON, 9., 2010, So Paulo. Anais... So Paulo: IEEE, 2010. p. 1-6.
BRITO, M. A. G.; SAMPAIO, L. P.; GALOTTO, Jr. L.; GODOY, R. B.; CANESIN, C. A. New integrated zeta
and cuk inverters intended for stand-alone and grid-connected applications. In: BRAZILIAN POWER
ELECTRONICS CONFERENCE - COBEP, 11., 2011, Natal. Proceedings... Natal: IEEE, 2010. p. 1-7.
BRITO, M. A. G.; GALOTTO JUNIOR, L.; SAMPAIO, L. P.; CANESIN, C. A. Tri-State single-phase
integrated inverters with input to output power decoupling control. In: INTERNATIONAL SYMPOSIUM ON
POWER ELECTRONICS, ELECTRICAL DRIVES, AUTOMATION AND MOTION - SPEEDAM, 22., 2012,
Sorrento. Proceedings... Sorrento: IEEE, 2012. p. 1-6
BRITO, M. A. G.; CANESIN, C. A. Three-phase tri-state integrated solar inverter. In: IEEE ENERGYCON
CONFERENCE & EXHIBITION, 2., 2012, Florena. Proceedings... Florena: IEEE, 2012. p. 1-6.
BRITO, M. A. G.; CANESIN, C. A. Three-phase tri-state buck-boost integrated inverter. In: INTERNATIONAL
CONFERENCE ON CLEAN ELECTRICAL POWER - ICCEP, 4., 2013, Alghero. Proceedings... Alghero:
IEEE, 2013. p. 1-6.
CARDOSO, R.; CAMARGO, R. F. de; PINHEIRO, H.; GRNDLING, H. A. Kalman filter based
synchronization methods. In: POWER ELECTRONICS SPECIALISTS CONFERENCE, 37., 2006, Jeju.
Proceedings Jeju: IEEE, 2006. p. 1-7.
CASADEI, D.; GRANDI, G.; ROSSI, C. Single-phase single-stage photovoltaic generation system based on a
ripple correlation control maximum power point tracking. IEEE Transactions on Energy Conversion, New
York, v. 21, n.2, p. 562-568, 2006.
CASARO, M. M.; MARTINS, D. C. Modelo de Arranjo Fotovoltaico Destinado a Anlises em Eletrnica de
Potncia via Simulao. Eletrnica de Potncia, Florianpolis, v. 13, n. 3, p. 141-146, 2008.

183

CHA, H.; LEE, S. Design and implementation of photovoltaic power conditioning system using a current based
maximum power point tracking. In: INDUSTRY APPLICATIONS SOCIETY ANNUAL MEETING - IAS, 43.,
2008, Edmonton. Proceedings Edmonton: IEEE, 2008. p. 1-5.
CHIN, C. S.; NEELAKANTAN, P.; YOONG, H. P.; TEO, K. T. K. Maximum power point tracking for PV
array under partially shadded conditions. In: CICSyn, 3., Bali. Proceedings... Bali: IEEE, 2011. p. 1-6.
CHOE, G.; KIM, H.; KIM, H; CHOI, Y.; KIM, C. The characteristic analysis of grid frequency variation under
islanding mode for utility interactive PV system with reactive power variation scheme for anti-island. In:
POWER ELECTRONICS SPECIALISTS CONFERENCE - PESC, 37., 2006, Jeju. Proceedings Jeju: IEEE,
2006. p. 1-5.
CHOI, J. W.; KIM, Y.K; KIM, H. G. Digital PLL control for single-phase photovoltaic system. IEE Electric
Power Applications, United Kingdom, v. 153, n. 1, p. 40-46, 2006.
CIOBOTARU, M.; AGELIDIS, V.; TEODORESCU, R. Accurate and less-disturbing active anti-island method
based on PLL for grid-connected PV inverters. In: POWER ELECTRONICS SPECIALISTS CONFERENCE PESC, 39., 2008, Rhodes. Proceedings Rhodes: IEEE, 2008. p. 4569-4576.
COELHO, R. F.; CONCER, F. M.; MARTINS, D. C. Martins. A MPPT approach based on temperature
measurements applied in PV systems. In: INTERNATIONAL CONFERENCE ON SUSTAINABLE ENERGY
TECHNOLOGIES - ICSET, 2, 2010, Kandi. Proceedings... Kandi: IEEE, 2010, p. 1-6.
COLLI, D. V.; CANCELLIERE, P.; MARIGNETTI, F.; DI STEFANO, R. Voltage control of current source
inverters. IEEE Transactions on Energy Conversion, New York, v. 21, n. 2, 2006, p. 451-458.
CENTO DE REFERNCIA PARA ENERGIA SOLAR E ELICA SRGIO DE SALVO BRITO - CRESESB,
CENTRO DE PESQUISAS DE ENERGIA ELTRICA - CEPEL. Energia solar princpios e aplicaes.
[S.l:s.n], 2000. Disponvel em: <http://www.crescesb.cepel.br/tutorial/>. Acessado em: 10 maio 2009.
DESAI, H. P.; PATEL, H. K. Maximum point algorithm in PV generation: An overview. In:
INTERNATIONAL CONFERENCE ON POWER ELECTRONICS AND DRIVE SYSTEMS - PEDS, 7., 2007,
Bangkok. Proceedings Bangkok: IEEE, 2007. p. 624-630.
ERICKSON, R. W.; MAKSIMOVIC, D. Fundamentals of Power Electronics. 2. ed. New York: Springer,
2001. 912p.
ESRAM, T,; CHAPMAN, P. L. Comparison of photovoltaic array maximum power point tracking techniques.
IEEE Transactions on Energy Conversion, New York, v.24, n.2, p. 439-449, 2007.
ENSLIN, J, H. R.; WOLF, M. S.; SNYMAN, D. B.; SWIEGERS, W. Integrated photovoltaic maximum power
point tracking converter. IEEE Transactions on Industrial Electronics, New York, v. 44, n. 6, p. 769-773,
1997.
FARANDA, R.; LEVA, S.; MAUGERI, V. MPPT techniques for PV systems: Energetic and cost comparison.
In: POWER AND ENERGY SOCIETY GENERAL MEETING - PESGM, 9., 2008, Pittsburgh. Proceedings
Pittsburgh: IEEE, 2008. p. 1-6.
GALOTTO JUNIOR, L.; BRITO, M. A. G.; SAMPAIO, L. P.; CANESIN, C. A. Integrated single-stage
converters with tri-state modulation suitable for photovoltaic systems. In: BRAZILIAN POWER
ELECTRONICS CONFERENCE, 11., 2011, Natal. Proceedings... Natal: IEEE, 2011. p. 1-7.
HIYAMA, T.; KOUZUMA, S.; IMAKUBO, T. Identification of optimal operating point of PV modules using
neural network for real time maximum power tracking control. IEEE Transactions on Energy Conversion,
New York, v. 10, n. 2, p. 360-367, 1995.
HO, B. M. T.; CHUNG, H. S. H.; LO, W.L. Use of system oscillation to locate the MPP of PV panels. IEEE
Power Electronics Letters, New York, v.2, n.1, p. 1-5, 2004.

184

HSIEH, G. C; HUNG, J. C. Phase-locked loop techniques a survey. IEEE Transactions on Industrial


Electronics, New York, v. 43, n. 6, p. 609-615, 1996.
HUSSEIN, K. H.; HOSHINO, T. OSAKADA, M. Maximum photovoltaic power tracking: an algorithm for
rapidly changing atmospheric conditions. IEE Generation, Transmission and Distribution, United Kingdom,
v. 142, n. 1, p. 59-64, 1995.
IEEE STANDARDS COORDINATING COMMITTEE. IEEE SCC21: 1547 IEEE standard for interconnecting
distributed resources with electric power systems, New York, 2003. p. 1-16.
JAEN, C.; MOYANO, C.; SANTACRUZ, X.; POU, J.; ARIAS, A. Overview of maximum power point tracking
control techniques used in photovoltaic systems. In: INTERNATIONAL CONFERENCE ON ELECTRONICS
CIRCUITS AND SYSTEMS - ICECS, 15., 2008, Malta. Proceedings Malta: IEEE, 2008. p. 1099-1102.
JAIN, S.; AGARWAL, V. Comparison of the performance of maximum power point tracking schemes applied
to single-stage grid-connected photovoltaic systems. IET Electric Power Applications, United Kingdom, v. 3,
n. 3, p. 753-762, 2007.
JAIN, S.; AGARWAL, V. A new algorithm for rapid tracking of approximate maximum power point in
photovoltaic systems. IEEE Power Electronics Letters, New York, v. 2, n. 1,p. 16-19, 2004.
JAIN, S.; AGARWAL, V. A single-stage grid connected inverter topology for solar pv systems with maximum
power point tracking. IEEE Transactions on Power Electronics, New York, v. 22, n.5, p.1928-1940, 2007.
JANG, S.; KIM, K. An islanding detection method for distributed generations using voltage unbalance and total
harmonic distortion of current. IEEE Transactions on Power Delivery, New York, v. 19, n. 2, p. 745-752,
2004.
JOHN, V.; ZHIHONG, Y.; KOLWALKAR, A. Investigation of anti-islanding protection of power converter
based distributed generators using frequency domain analysis. IEEE Transactions on Power Electronics, New
York, v. 19, n.5, p. 1177-1183, 2004.
KITAMURA, A,; OKAMOTO, M; YAMAMOTO, F.; NAKAJI, K,; MATSUDA, H.; HOTTA, K. Islanding
phenomenon elimination study at rokko test center. In: PHOTOVOLTAIC SPECIALISTS CONFERENCE PVSC, 24., 1994, Waikoloa. Proceedings Waikoloa: IEEE, 1994. p. 1531-1534.
KJAER, S. B.; PEDERSEN, J. K.; BLAABJERG, F. A review of single-phase grid-connected inverters for
photovoltaic modules. IEEE Transactions on Industry Applications, New York, v. 41, n. 5, p. 1292 1306,
2005.
KOUTROULIS, E.; BLAABJERG, F. A new technique for tracking the global maximum power point of pv
arrays operating under partial-shading conditions. IEEE Journal of Photovoltaics, New York, v. 2, n. 2, p.1-7,
2012.
KWON, J.; NAM, K; KWON, B. Photovoltaic power conditioning system with line connection. IEEE
Transactions on Industrial Electronics, New York, v. 53, n. 5, p. 1048-1054, 2006.
LAIRD, I.; LOVATT, H.; SAVVIDES, N.; LU, D.; AGELIDIS, V. G. Comparative study of maximum power
point tracking algorithms for thermoelectric generators. In: AUSTRALASIAN UNIVERSITIES POWER
ENGINEERING CONFERENCE - AUPEC, 20., 2008, Sydney. Proceedings Sydney: IEEE, 2008. p. 1-6.
LI, Q.; WOLFS, P. Recent Development in the topologies for photovoltaic module integrated converters. . In:
POWER ELECTRONICS SPECIALIST CONFERENCE - PESC, 37., 2006, Jeju. Proceedings Jeju: IEEE,
2006. p. 1-8.
LISERRE, M.; DELL'AQUILA, A.; BLAABJERG, F. An overview of three-phase voltage source active
rectifiers interfacing the utility. In: IEEE POWERTECH CONFERENCE, 3., 2003, Bologna. Proceedings...
Bologna: IEEE, 2003. p. 1-8.

185

LISERRE, M.; SAUTER, T.; HUNG, Y. J. Future Energy Systems - Integrating renewable energy sources into
the smart power grid through industrial electronics. IEEE Industrial Electronics, New York, v.4, n.1, p.18-37,
2010.
LOH, P. C.; BLAABJERG, F.; WONG, C. P.; TAN, P. C. Tri-State current source inverter with improved
dynamic performance, IEEE Transactions on Power Electronics, New York, v. 23, n. 4, p. 1631-1640, 2008.
LOPES, L. A. C.; HUILI, S. Performance assessment of active frequency drifting islanding detection methods.
IEEE Transactions on Energy Conversion, New York, v. 21, n. 1, p. 171-180, 2006.
MAHAT, P.; CHEN, Z.; BAK-JENSEN, B. Review of island detection methods for distributed generation. In:
ELECTRIC UTILITY DEREGULATION AND RESTRUCTURING AND POWER TECHNOLOGIES DPRT, 30., 2008, Nanjuing. Proceedings Nanjuing: IEEE, 2008. p. 2743-2748.
MARTINS, F. R.; RTHER, E. B.; ABREU, S. L. Solar Energy Scenarios in Brazil. Energy Policy, Holland, v.
36, n. 8, p. 2855-2867, 2009.
MCGRATH, B. P.; HOLMES, D. G.; GALLOWAY, J. J. H.; Power Converter Line Synchronization Using a
Discrete Fourier Transform (DFT) Based on a Variable Sample Rate. IEEE Transactions on Power
Electronics, New York, v. 20, n. 4, p. 877-884, 2005.
NIANCHUN, W.; QINGSHAN, X.; BIN, S; YUKITA, K.; GOTO, Y.; ICHIYANAGI. Research os single-phase
inverter for PV modules with MPPT. IEEE Industrial Electronics, New York, v.4, n.1, p.18-37, 2010.
NOGUCHI, T.; TOGASHI, S.; NAKAMOTO, R. Short-current pulse-based maximum-power-point tracking
method for multiple photovoltaic-and-converter module system. IEEE Transactions on Industrial Electronics,
New York, v. 49, n. 1, p. 217-223, 2002.
OGATA, K. Engenharia de controle moderno. 3. ed. So Paulo: LTC, 1998, 745 p.
PDUA, M. S.; DECKMANN, S. M.; LOPES, A.; MARAFO, F. P.; MOREIRA, A. C. Metodologia para
identificao do componente fundamental da tenso da rede baseada no algoritmo recursivo da TDF. Revista
Controle & Automao, So Jos dos Campos, v. 18, n. 3, p. 381-396, 2007.
PDUA, M. S.; DECKMANN, S. M.; SPERANDIO. G. S.; MARAFO, F. P.; COLON, D. Comparative
analysis of synchronization algorithms based on PLL, RDFT and kalman filter. In: INTERNATIONAL
SYMPOSIUM ON INDUSTRIAL ELECTRONICS - ISIE, 30., 2008, Vigo. Proceedings Vigo: IEEE, 2007.
p. 964-970.
PANDEY, A; DASGUPTA, N.; MUKERJEE, A. K. A simple single-sensor MPPT solution. IEEE
Transactions on Power Electronics, New York, v. 22, n. 6, p. 698700, 2007.
PARK, M; YU, I. Study on the optimal voltage for MPPT obtained by surface temperature of solar cell. In:
INDUSTRIAL ELECTRONICS CONFERENCE - IECON, 30., 2004, South Korea. Proceedings... South
Korea: IEEE, 2004, p. 2040-2045.
PINHEIRO, A. P. B. Energias renovveis: tipos e aplicaes. [S.l:s.n], 2007. Disponvel em:
<http://www.nteditorial.com.br/revista/Materias/index.asp?RevistaID1>. Acessado em: 10 maio 2009.
RASHID, M. H. (2001). Power electronics handbook. Canada: Academic Press, 2010. 895 p.
RAZA, H. S. M.; GOTO, H.; ICHINOKURA, O.; GUO, H. An improved and very efficient mppt controller for
pv systems subjected to rapidly varying atmospheric conditions and partial shading. In: AUSTRALASIAN
UNIVERSITIES POWER ENGINEERING CONFERENCE - AUPEC, 20., 2009, Adelaide.
Proceedings... Adelaide: IEEE, 2009. p.1-6.
ROPP, M.; LARSON, D.; MEENDERING, S.; MCMAHON, D.; GINN, J.; STEVENS, J.; BOWER, W.;
GONZALES, S.; FENNELL, K.; BRUSSEAU, L. Discussion of a power line carrier communications- based
anti-islanding scheme using a commercial automatic meter reading system. In: PHOTOVOLTAIC ENERGY
CONVERSION - PVEC, 4., 2006, Waikoloa. Proceedings... Waikoloa: IEEE, 2006. p. 2351-2354.

186

SABLE, D. M.; CHO, B. H.; RIDLEY, R. B. Use of leading-edge modulation to transform boost and flyback
converters into minimum-phase-zero systems. IEEE Transactions on Power Electronics, New York, v. 6, n.4,
p. 704711, 1991.
SALAMONI, I. T.; RTHER, R. Potencial brasileiro da gerao solar fotovoltaica conectada rede eltrica:
anlise de paridade de rede. In: ENCONTRO NACIONAL E V LATINO AMERICANO DE CONFORTO NO
AMBIENTE CONSTRUDO - ENCAC, 9., 2007, Ouro Preto. Proceedings... Ouro Preto: ENCAC, 2007. p. 110.
SANTOS FILHO, R. M.; SEIXAS, P. F.; CORTIZO, P. C.; TORRES, L. A. B. SOUZA, A. F. Comparison of
three single-phase PLL algorithms for UPS applications. IEEE Transactions on Industrial Electronics, New
York, v. 55, n. 8, p. 2923-2932, 2008.
SAMPAIO, L. P.; BRITO, M. A. G; JUNIOR, L. G.; CANESIN, C. A. Inversor boost monofsico, como
alternativa de baixo custo e alta densidade de potncia para fontes alternativas de energia. In: CONGRESSO
BRASILEIRO DE AUTOMTICA - CBA, 18., 2010, Bonito. Proceedings... Bonito: IEEE, 2010. p. 36863693.
SILVA, S. M.; LOPES, B. M.; CARDOSO FILHO, B. J.; CAMPANA, R. P.; BOAVENTURA, W. C.
Performance evaluation of PLL algorithms for single-phase grid-connected systems. In: IAS ANNUAL
MEETING, 39., 2004, Seatle. Proceedings... Seatle: IEE, 2004. p. 2259 2263.
SIMOES, M. G.; FRANCESCHETTI, N. N.; FRIEDHOFER, M.; A Fuzzy logic based photovoltaic peak power
tracking control. In: INTERNATIONAL SYMPOSIUM ON INDUSTRIAL ELECTRONICS - ISIE, 1998,
Pretoria. Proceedings Piscataway: IEE, 1998. p. 300-305.
SPAGNUOLO, G.; PETRONE, G.; ARAUJO, S. V.; CECATI, C. Renewable energy operation and conversion
schemes. IEEE Industrial Electronics, New York, v.4, n.1, p.38-51, 2010.
TEULINGS, W. J. A.; MARPINARD, J. C.; CAPEL, A.; OSULLIVAN, D. A new maximum power point
tracking system. In: POWER ELECTRONICS SPECIALISTS CONFERENCE - PESC, 24., 1993, Seattle.
Proceedings Seattle: IEEE, 1993. p. 833-838.
TIMBUS, A. V.; TEODORESCU, R.; BLAABJERG, F.; BORUP, U. Online grid measurement and ENS
detection for PV Inverter running on highly inductive grid. IEEE Power Electronics Letters, New York, v. 2,
n.3, p. 77-82, 2004.
VSQUEZ, N.; LPEZ, H.; HERNNDEZ, C.; RODRIGUEZ, E.; OROSCO, R.; ARAU, J. A grid connected
current source inverter. In: INTERNATIONAL CONFERENCE ON CLEAN ELECTRICAL POWER, 9., 2009,
Capri. Proceedings... Capri: IEEE, 2009. p. 439-442.
VILLALVA, M. G.;FILHO, E. R. Dynamic analysis of the input-controlled buck converter fed by a photovoltaic
array. Controle & Automao, So Paulo, v. 19, n. 4, p. 463-474, 2008.
VISWANATHAN, K.; ORUGANTI, R.; SRINIVASAN, D. A novel tri-state boost converter with fast
dynamics. IEEE Transactions On Power Electronics, New York, v. 17, n. 5, p. 677-683, 2002.
WALKER, R. G.; SERNIA, C. P. Cascaded DC-DC converter connection of photovoltaic modules. IEEE
Transactions on Power Electronics, New York, v. 19, n.4, p. 1130-1139, 2004.
WASYNEZUK, O. Dynamic behavior of a class of photovoltaic power systems. IEEE Transactions on Power
Apparatus and Systems, New York, v. 102, n. 9, p. 3031-3037, 1983.
WILAMOWSKI, B. M.; XIANGLI, L. Fuzzy system based maximum power point tracking for pv system. In:
INDUSTRIAL ELECTRONICS CONFERENCE - IECON, 28., 2002, Sevilla. Proceedings Piscataway:
IEEE, 1993. p. 3280-3284.

187

WOLFSEGGER, C.; STIERSTORFER, J. Solar generation IV: solar electricity for over one billion people and
two million jobs by 2020. [S.l:s.n], 2007. Disponvel em: < http://www.photovoltaique.info>. Acessado em: 10
maio 2009.
XIAOFENG, S.; WEIYANG, W.; QINGLIN, Z. A Research on photovoltaic energy controlling system with
maximum power point tracking. In: POWER CONVERSION CONFERENCE - PCC, 2002, Osaka.
Proceedings Osaka: IEEE, 2002. p. 822-826.
XIAOYU, W.; FREITAS, W.; WILSUN, X.; DINAVAHI, V. Impact of DG interface controls on the sandia
frequency shift antiislanding method. IEEE Transactions on Energy Conversion, New York, v. 22, n. 3, p.
792-794, 2007.
YU, G. J.; JUNG, Y. S.; CHOI, I.; SONG, J. H. A novel two-mode MPPT control algorithm based on
comparative study of existing algorithms. In: PHOTOVOLTAIC SPECIALISTS CONFERENCE - PVCS, 29.,
2002, Louisiana. Proceedings Louisiana: IEEE, 2002. p. 1531-1534.
ZAIMEDDINE, R; UNDELAND, T. DTC control schemes for induction motor fed by three-level NPC-VSI
using space vector modulation. In: INTERNATIONAL SYMPOSIUM ON POWER ELECTRONICS,
ELECTRICAL DRIVES, AUTOMATION AND MOTION - SPEEDAM, 20., 2010, Pisa. Proceedings... Pisa:
IEEE, 2010, p. 966-971
ZHOU, K.; WANG, D. Relationship between space-vector modulation and three-phase carrier-based PWM: a
comprehensive analysis. IEEE Transactions on Industrial Electronics, New York, v. 49, n. 1, p. 186-196,
2002.
ZIOGAS, P. D.; MORAN, L.; JOOS, G.; VINCENTI, D. A refined PWM scheme for voltage and current source
converter. In: POWER ELECTRONICS SPECIALIST CONFERENCE - PESC, 21., 1990, Seatle.
Proceedings... Seatle: IEEE, 1990, p. 977983.

188

Apndice A
Produo de Artigos Cientficos

A.1 - Artigos Publicados


[1] BRITO, M. A. G.; FERREIRA, C. S.; CANESIN, C. A. Sistema de gerenciamento automtico de
iluminao fluorescente multi-lmpadas, com correo ativa do fator de potncia. REVISTA
ELETRNICA DE POTNCIA, Florianpolis, v.15, n. 1, p. 184-192, 2010 (ainda resultante dos
trabalhos de mestrado com bolsa FAPESP).
[2] SAMPAIO, L. P.; BRITO, M. A. G; JUNIOR, L. G.; CANESIN, C. A. Inversor boost monofsico,
como alternativa de baixo custo e alta densidade de potncia para fontes alternativas de energia. In:
CONGRESSO BRASILEIRO DE AUTOMTICA - CBA, 18., 2010, Bonito. Conference... Bonito:
Campinas, 2010. p. 3686-3693.
[3] BRITO, M. A. G.; SAMPAIO, L. P.; JUNIOR, L. G; CANESIN, C. A. Inversor CS boost
monofsico em aplicaes com fontes renovveis. In: INTERNATIONAL CONFERENCE ON
INDUSTRY APPLICATIONS - INDUSCON, 9., 2010, So Paulo, Conference... So Paulo: So
Paulo, 2010. p.1-6.
[4] BRITO, M. A. G.; SAMPAIO, L. P.; JUNIOR, L. G; CANESIN, C. A. Avaliao das principais
tcnicas para obteno de mppt de painis fotovoltaicos. In: INTERNATIONAL CONFERENCE ON
INDUSTRY APPLICATIONS - INDUSCON, 9., 2010, So Paulo. Conference... So Paulo: IEEE.
2010. p. 1-6.
[5] JUNIOR, L. G; BRITO, M. A. G.; SAMPAIO, L. P.; CANESIN, C. A. Integrated inverter
topologies for low power photovoltaic systems. In: INTERNATIONAL CONFERENCE ON
INDUSTRY APPLICATIONS - INDUSCON, 9., 2010, So Paulo. Conference... So Paulo: IEEE.
2010. p. 1-6.
[6] SAMPAIO, L. P.; BRITO, M. A. G; JUNIOR, L. G.; MELO, G. A. CANESIN, C. A. Single-phase
current-source-boost inverter for renewable energy sources. In: IEEE INTERNATIONAL
SYMPOSIUM ON INDUSTRIAL ELECTRONICS - ISIE, 20., 2011, Gdansk. Proceedings...
Gdansk: IEEE, 2011, p.1118-1123.
[7] BRITO, M. A. G.; JUNIOR, L. G.; Sampaio, L. P.; CANESIN, C. A. Evaluation of MPPT
techniques for photovoltaic applications. In: IEEE INTERNATIONAL SYMPOSIUM ON
INDUSTRIAL ELECTRONICS - ISIE, 20., 2011, Gdansk. Proceedings... Gdansk: IEEE, 2011,
p.1039-1044.
[8] JUNIOR, L. G.; BRITO, M. A. G.; Sampaio, L. P.; CANESIN, C. A. Single stage converters for
low power stand-alone and grid-connected pv systems. In: IEEE INTERNATIONAL SYMPOSIUM
ON INDUSTRIAL ELECTRONICS - ISIE, 20., 2011, Gdansk. Proceedings... Gdansk: IEEE, 2011,
p.1112-1117.

189

[9] JUNIOR, L. G.; BRITO, M. A. G.; SAMPAIO, L. P.; CANESIN, C. A. Evaluation of integrated
inverter topologies for low power pv systems. In: IEEE INTERNATIONAL CONFERENCE ON
CLEAN ELECTRICAL POWER - ICCEP, 3., 2011, Ischia. Proceedings... Ischia: IEEE, 2011 p.1-6.
2011.
[10] BRITO, M. A. G.; SAMPAIO, L. P. ; JUNIOR, L. G.; MELO, G. A.; CANESIN, C. A.
Comparative analysis of mppt techniques for pv applications. In: IEEE INTERNATIONAL
CONFERENCE ON CLEAN ELECTRICAL POWER - ICCEP, 4., 2011, Ischia. Proceedings...
Ischia: IEEE, 2011. p.1-6.
[11] SILVA, L. S. C.; SEIXAS, F. J. M.; BRITO, M. A. G. Bridgeless interleaved boost PFC
converter with variable duty cycle control. In: BRAZILIAN POWER ELECTRONICS
CONFERENCE - COBEP, 11., 2011, Natal. Proceedings... Natal: IEEE, 2011. p. 1-7.
[12] GALOTTO Jr., L.; BRITO, M. A. G.; SAMPAIO, L. P.; CANESIN, C. A. Integrated single-stage
converters with tri-state modulation suitable for photovoltaic systems. In: BRAZILIAN POWER
ELECTRONICS CONFERENCE - COBEP, 11., 2011, Natal. Proceedings... Natal: IEEE, 2011. p. 17.
[13] PEA, J. C. U.; BRITO, M. A. G.; CANESIN, C. A. A Comparative Study of MPPT strategies
and a novel single-phase integrated buck-boost inverter for small wind energy convertion systems. In:
BRAZILIAN POWER ELECTRONICS CONFERENCE - COBEP, 11., 2011, Natal. Proceedings...
Natal: IEEE, 2011. p. 1-6.
[14] FAZIO Jr. A.; CANESIN, C. A.; SAMPAIO, L. P.; BRITO, M. A. G.; MELO, G. A.
Comparative analysis for reactive energy measurement methodologies, under non-sinusoidal
conditions in three-phase four-wire circuits. In: BRAZILIAN POWER ELECTRONICS
CONFERENCE - COBEP, 11., 2011, Natal. Proceedings... Natal: IEEE, 2011. p. 1-6.
[15] BRITO, M. A. G.; GALOTTO, Jr. L.; SAMPAIO, L. P.; MELO, G. A.; CANESIN, C. A. Main
maximum power point tracking strategies intended for photovoltaics. In: BRAZILIAN POWER
ELECTRONICS CONFERENCE - COBEP, 11., 2011, Natal. Proceedings... Natal: IEEE, 2011. p. 17.
[16] BRITO, M. A. G.; SAMPAIO, L. P.; GALOTTO, Jr. L.; CANESIN, C. A. Research on
photovoltaics: review, trends and perspectives. In: BRAZILIAN POWER ELECTRONICS
CONFERENCE - COBEP, 11., 2011, Natal. Proceedings... Natal: IEEE, 2011. p. 1-6.
[17] BRITO, M. A. G.; SAMPAIO, L. P.; GALOTTO, Jr. L.; Godoy, R. B.; CANESIN, C. A. New
integrated zeta and cuk inverters intended for stand-alone and grid-connected applications. In:
BRAZILIAN POWER ELECTRONICS CONFERENCE - COBEP, 11., 2011, Natal. Proceedings...
Natal: IEEE, 2011. p. 1-7.
[18] SAMPAIO, L. P.; BRITO, M. A. G.; CANESIN, C. A. Computer tools to aid the learning and
design steps for photovoltaic systems In: BRAZILIAN POWER ELECTRONICS CONFERENCE COBEP, 11., 2011, Natal. Proceedings... Natal: IEEE, 2011. p. 1-6.
[19] SAMPAIO, L. P.; BRITO, M. A. G.; MELO, G. A.; CANESIN, C. A. Power electronics course:
analysis and evaluation of the educational software and the environment learning. In: BRAZILIAN
POWER ELECTRONICS CONFERENCE - COBEP, 11., 2011, Natal. Proceedings... Natal: IEEE,
2011. p. 1-6.

190

[20] GALOTTO Jr. L.; GODOY, R. B.; BRITO, M. A. G.; GARCIA, R. C.; KIMPARA, M. L. M.;
CANESIN, C. A. Tri-state space vector modulation for three-phase integrated inverters. In:
BRAZILIAN POWER ELECTRONICS CONFERENCE - COBEP, 11., 2011, Natal. Proceedings...
Natal: IEEE, 2011. p. 1-7.
[21] BRITO, M. A. G.; FERREIRA, C. S.; SAMPAIO, L. P.; CANESIN, C. A. Sistema automtico
para o controle eficiente de iluminao para mltiplas lampadas fluorescentes. CONTROLE &
AUTOMAO, Campinas, v. 23, n. 4, p. 439-452, 2012. (ainda resultante dos trabalhos de mestrado
com bolsa FAPESP).
[22] BRITO, M. A. G.; FERREIRA, C. S.; SAMPAIO, L. P.; CANESIN, C. A. Automatic dimming
multi-lamp fluorescent management system with active input PFC stage. IET POWER
ELECTRONICS, United Kingdon, v. 5, n. 4, p. 410-418, 2012. (ainda resultante dos trabalhos de
mestrado com bolsa FAPESP).
[23] BRITO, M. A. G.; GALOTTO, Jr. L.; SAMPAIO, L. P.; CANESIN, C. A. Tri-State single-phase
integrated inverters with input to output power decoupling control. In: INTERNATIONAL
SYMPOSIUM ON POWER ELECTRONICS, ELECTRICAL DRIVES, AUTOMATION AND
MOTION - SPEEDAM, 21., 2012, Sorrento. Proceedings... Sorrento: IEEE, 2012. p. 1-6.
[24] BRITO, M. A. G.; GALOTTO Jr. L.; CANESIN, C. A. Three-phase tri-state integrated boost
inverter with special space vector and dq0 control. In: INTERNATIONAL SYMPOSIUM ON
POWER ELECTRONICS, ELECTRICAL DRIVES, AUTOMATION AND MOTION - SPEEDAM,
21., 2012, Sorrento. Proceedings... Sorrento: IEEE, 2012. p. 1-6.
[25] BRITO, M. A. G.; CANESIN, C. A. Three-phase tri-state integrated solar inverter. In:
ENERGYCON CONFERENCE & EXHIBITION, 4., 2012, Florence. Proceedings... Florence:IEEE,
2012. p. 1-6.
[26] SAMPAIO, L. P; BRITO, M. A. G. de; ALVES, M. G.; MELO, G. A.; CANESIN, C. A. Robust
control applied to power flow control in single-phase inverter with LCL filter, using droop control and
D-stability. In: INTERNATIONAL CONFERENCE ON INDUSTRY APPLICATIONS INDUSCON, 18., 2012, Fortaleza. Conference... Fortaleza: IEEE, 2012. p. 1-8.
[27] MELO, G. A.; BRITO, M. A. G.; OLIVEIRA, N. R.; GONALVES, A. S. F.; CANESIN, C. A.
Sistema de trao eltrica flexvel baseado em veculos trlebus para alimentao com redes CC e ou
CA. CONTROLE & AUTOMAO, Campinas, v. 23, n. 5, p. 608-620, 2012.
[28] BRITO, M. A. G.; SAMPAIO, L. P.; MELO, G. A.; CANESIN, C. A. Contribuio ao estudo dos
principais algoritmos de extrao da mxima potncia dos painis fotovoltaicos. REVISTA
ELETRNICA DE POTNCIA, Florianpolis, v. 17, n. 3, p. 592-600, 2012.
[29] BRITO, M. A. G.; SAMPAIO, L. P.; GALOTTO, Jr. L.; MELO, G. A.; CANESIN, C. A.
Evaluation of the Main MPPT Techniques for Photovoltaic Applications. IEEE TRANSACTIONS
ON INDUSTRIAL ELECTRONICS (Special Session), New York, v. 60. n. 3, p. 1156-1167, 2013.
A.2 - Artigos Aceitos para Publicao

[30] BRITO, M. A. G.; CANESIN, C. A. Three-phase tri-state buck-boost integrated inverter. In:
INTERNATIONAL CONFERENCE ON CLEAN ELECTRICAL POWER - ICCEP, 4., 2013.
Alghero. Proceedings... Alghero: IEEE, 2013, p. 1-6.

191

[31] SAMPAIO, L. P; BRITO, M. A. G.; MELO, G. A.; CANESIN, C. A. Power flow control in
single and three-phases inverters using droop control and d-stability between distributed generator and
utility grid. In: EUROPEAN CONFERENCE ON POWER ELECTRONICS AND APPLICATION EPE, 13., 2013, Lile. Proceedings... Lile: IEEE, 2013. p. 1-5.
[32] MATHEUS, B, P.; BRITO, M. A. G.; CANESIN, C. A. Modelagem de lmpada fluorescente uv
em uma aplicao para fins de purificao de gua. REVISTA ELETRNICA DE POTNCIA,
Florianpolis, p. 1-8, 2013.

192

Apndice B
Projeto do Inversor Buck-Boost Tri-State Trifsico

Para a implementao do inversor trifsico Buck-Boost Tri-State escolheram-se os


seguintes parmetros:
 Potncia de Sada: Po = 1500W
 Tenso de entrada: Vin = 100V.
 Frequncia de chaveamento: fs=20kHz
 Frequncia da rede: fr=60Hz
 Frequncia de amostragem: fa=10kHz
 Tenso RMS de fase da rede: Vf=127V
 Ondulao de Corrente no Indutor: I=7,5%
 Ondulao de Tenso no Capacitor AC: Vc=7,5%

Para que o inversor funcione como Tri-state necessrio garantir um tempo mnimo
de roda livre. Este tempo foi mantido em 0,1. Desta forma:

D1 + D2 = 0,9

(113)

O ganho esperado para o conversor dado em funo da tenso de pico de linha da


rede, e atravs de (97) e de (113) obtm-se a razo cclica principal D1:

D1 = 0,68

(114)

A corrente de carga por fase determinada em funo da potncia de sada e da tenso


de linha, conforme segunda parcela da equao (96):

Io = 3,94 A

(115)

O valor mnimo de corrente do barramento CC deve respeitar a primeira parcela da


equao (96). Assim:

ILBmin = 18A
O indutor Buck-Boost calculado conforme (49):

(116)

193

LB =

Vin.D1
2, 5mH
fs.I

(117)

O resumo do projeto fsico do indutor Buck-Boost pode ser verificado na Tabela 12.

Tabela 12 - Projeto indutor Buck-Boost LB.

Indutor Ferrite

Indutor Ferro Silcio EI

2 x NEE 75/50/25

Chapa 4HS-350

Bmax(T)

0,35

1,5

Irms(A)

20

20

Jcond(A/cm2)

450

450

LBesc(mH)

2,5

2,5

91

80

Ae.Awesc(cm )

111

84

Np (voltas)

110

74

Gap(mm)

3,9

3,4

Fio

AWG20

AWG20

Litz

Peso Fios (kg)

1,2

0,53

Peso Ncleo (kg)

1,34

1,6

Peso Total (kg)

2,54

2,13

Dimenses (AxLxE - cm)

11,6x7,6x5,1

8,75x10,5x2,5

Especificaes

Ae.Awcalc(cm4)
4

Fonte: Prprio autor.

O capacitor CA de sada calculado conforme (51):


Cac =

Io.(1 D2 )
6,8 F
fs.Vc

(118)

O indutor de rede obtido conforme (53):

Lac 3mH

(119)

O projeto fsico do indutor de rede apresentado na Tabela 13, e, considerando


aumento da potncia de sada, apresenta-se tambm a Tabela 14.

194

Tabela 13 - Projeto do indutor de rede.

Indutor Ferrite

Indutor Ferro Silcio EI

NEE 55/28/21

Chapa 4HS-200

Bmax (T)

0,35

1,2

Irms(A)

4,5

4,5

Jcond(A/cm2)

400

400

LBesc(mH)

Ae.Awcalc(cm4)

5,7

4,9

Ae.Awesc(cm4)

8,8

5,7

Np (voltas)

112

56

Gap(mm)

0,9

0,37

Fio

AWG20

AWG20

Litz

Peso Fios (kg)

0,19

0,05

Peso Ncleo (kg)

0,21

0,13

Peso Total (kg)

0,40

0,18

T(C)

42

25

Dimenses (AxLxE - cm)

5,6x5,5x2,1

5,0x6,0x1,3

Especificaes

Fonte: Prprio autor

195

Tabela 14 - Projeto do indutor de rede - prevendo aumento de potncia.

Indutor Ferrite

Indutor Ferro Silcio EI

NEE 65/33/26

Chapa 4HS-200

Bmax (T)

0,3

1,2

Irms(A)

6,5

6,5

Jcond(A/cm2)

400

400

LBesc(mH)

Ae.Awcalc(cm4)

15

10,3

Ae.Awesc(cm4)

19

12

Np (voltas)

122

57

Gap(mm)

1,6

0,6

Fio

AWG20

AWG20

Litz

Peso Fios (kg)

0,39

0,09

Peso Ncleo (kg)

0,37

0,19

Peso Total (kg)

0,76

0,28

Dimenses (AxLxE - cm)

6,5x6,6x2,7

5,0x6,0x2,1

Especificaes

Fonte: Prprio autor.

A potncia dissipada em cada semicondutor pode ser obtida a partir do conjunto de


equaes (103), (105) e (107).

A escolha do semicondutor baseou-se nas curvas de eficincia apresentadas no


decorrer do captulo 5. A associao adotada o MOSFET (SPW47N60C3 - 30A) de baixa
Rdson (0,07) e o diodo SCHOTTKY IDH08S6 (8A - Vceon=1,5V). A Tabela 15 ilustra as
perdas nos semicondutores, considerando ILB = 20A, que respeita a equao (116).

196

Tabela 15 - Perdas nos semicondutores.

Perdas (W)
Semicondutor

Imdio (A)

Ieficaz (A)
Conduo

Chaveamento

Sp

16,5

19

3,7

S1

6,5

3,7

S2

6,5

3,7

S3

6,5

3,7

S4

6,5

3,7

S5

6,5

3,7

S6

6,5

3,7

D1

2,2

3,2

D2

2,2

3,2

D3

2,2

3,2

D4

2,2

3,2

D5

2,2

3,2

D6

2,2

3,2

56,2

25,9

Perdas Totais
Fonte: Prprio autor.

O perfil de dissipador escolhido o HS 15560. A metodologia de projeto segue a


proposio feita por Barbi (2001), escolhendo-se a temperatura de juno mxima admissvel
como Tj=120C e a temperatura ambiente como Ta=50 C. A resistncia trmica calculada do
dissipador (Rda) deve ser menor do que 0,76. Dessa forma, utilizando os dados do catlogo
do fabricante, usando as correes de temperatura e de altura, o dissipador deve ter o
comprimento mnimo de 15cm.

Os esquemticos podem ser visualizados na sequncia.

197

Figura 167 - Esquemtico da Placa de Potncia.

Fonte: Prprio autor usando Eagle 5.11.

198

Figura 168 - Esquemtico da Placa de Potncia - Circuitos de ataque de Gate.

Fonte: Prprio autor usando Eagle 5.11.

199

Figura 169 - Esquemtico da Placa de Sensoreamento Parte 1-2.

Fonte: Prprio autor usando Eagle 5.11.

200

Figura 170 - Esquemtico da Placa de Sensoreamento Parte 2-2

Fonte: Prprio autor usando Eagle 5.11.

201

Figura 171 - Esquemtico da Fonte Auxiliar

Fonte: Prprio autor usando Eagle 5.11.

202

Apndice C
Cdigos de Programao

Os cdigos apresentados referem-se ao controle do Inversor Buck-Boost Tri-State


Trifsico.

C.1 - Linguagem C para o DSC

O dispositivo DSC para o MC56F8257 foi programado em linguagem C utilizando o


ambiente Code Warrior. Este cdigo realiza o clculo dos setores e das projees do vetor da
modulao Space Vector. Este dispositivo tem como entradas as variveis D1, D2 e o ngulo
de sincronismo. Como sada este dispositivo fornece 3 bits para representar o setor da
modulao e mais trs bits para representar os tempos D, Ta e Tb.

Tabela 16 - Cdigo em C.
/** ###################################################################
**
Filename : Events.C
**
Project
: DSC
**
Processor : MC56F8257
**
Component : Events
**
Version
: Driver 01.03
**
Compiler : Metrowerks DSP C Compiler
**
Date/Time : 24/4/2013, 17:50
**
Abstract :
**
This is user's event module.
**
Put your event handler code here.
**
Settings :
**
Contents :
**
TI1_PWM_OnInterrupt - void TI1_PWM_OnInterrupt(void);
**
** ###################################################################*/
/* MODULE Events */
#include "Cpu.h"
#include "Events.h"
/* Include's do usuario */
#include "definicoes.h"
#include <math.h>
/* Constantes externas */
//tabela do seno de 0 a 60 graus 128pts

203

const Frac32 tabelaSeno[] =


{
FRAC32(0), FRAC32(0.008245557), FRAC32(0.016490553), FRAC32(0.024734427),
FRAC32(0.03297662),
FRAC32(0.041216571), FRAC32(0.04945372), FRAC32(0.057687506),
FRAC32(0.06591737), FRAC32(0.074142753),
FRAC32(0.082363094), FRAC32(0.090577835), FRAC32(0.098786418),
FRAC32(0.106988285), FRAC32(0.115182877),
FRAC32(0.123369638), FRAC32(0.131548011), FRAC32(0.13971744),
FRAC32(0.14787737), FRAC32(0.156027245),
FRAC32(0.164166512), FRAC32(0.172294617), FRAC32(0.180411008),
FRAC32(0.188515133), FRAC32(0.196606441),
FRAC32(0.204684381), FRAC32(0.212748404), FRAC32(0.220797963),
FRAC32(0.22883251), FRAC32(0.236851498),
FRAC32(0.244854382), FRAC32(0.252840619), FRAC32(0.260809665),
FRAC32(0.268760979), FRAC32(0.276694019),
FRAC32(0.284608247), FRAC32(0.292503125), FRAC32(0.300378114),
FRAC32(0.308232681), FRAC32(0.316066292),
FRAC32(0.323878412), FRAC32(0.331668513), FRAC32(0.339436063),
FRAC32(0.347180534), FRAC32(0.354901401),
FRAC32(0.362598137), FRAC32(0.370270221), FRAC32(0.37791713),
FRAC32(0.385538344), FRAC32(0.393133345),
FRAC32(0.400701617), FRAC32(0.408242645), FRAC32(0.415755916),
FRAC32(0.42324092), FRAC32(0.430697148),
FRAC32(0.438124092), FRAC32(0.445521248), FRAC32(0.452888114),
FRAC32(0.460224187), FRAC32(0.467528969),
FRAC32(0.474801964), FRAC32(0.482042677), FRAC32(0.489250615),
FRAC32(0.49642529), FRAC32(0.503566212),
FRAC32(0.510672896), FRAC32(0.51774486), FRAC32(0.524781622),
FRAC32(0.531782704), FRAC32(0.53874763),
FRAC32(0.545675926), FRAC32(0.552567121), FRAC32(0.559420747),
FRAC32(0.566236338), FRAC32(0.573013431),
FRAC32(0.579751564), FRAC32(0.586450279), FRAC32(0.593109122),
FRAC32(0.599727639), FRAC32(0.60630538),
FRAC32(0.612841898), FRAC32(0.619336749), FRAC32(0.625789491),
FRAC32(0.632199685), FRAC32(0.638566896),
FRAC32(0.644890691), FRAC32(0.651170639), FRAC32(0.657406313),
FRAC32(0.663597291), FRAC32(0.66974315),
FRAC32(0.675843473), FRAC32(0.681897846), FRAC32(0.687905855),
FRAC32(0.693867094), FRAC32(0.699781157),
FRAC32(0.705647641), FRAC32(0.711466148), FRAC32(0.717236282),
FRAC32(0.722957651), FRAC32(0.728629866),
FRAC32(0.734252541), FRAC32(0.739825294), FRAC32(0.745347746),
FRAC32(0.750819522), FRAC32(0.756240249),
FRAC32(0.761609559), FRAC32(0.766927086), FRAC32(0.772192471),
FRAC32(0.777405353), FRAC32(0.78256538),
FRAC32(0.787672199), FRAC32(0.792725465), FRAC32(0.797724833),
FRAC32(0.802669963), FRAC32(0.807560519),
FRAC32(0.812396169), FRAC32(0.817176584), FRAC32(0.821901439),
FRAC32(0.826570412), FRAC32(0.831183187),
FRAC32(0.835739449), FRAC32(0.840238889), FRAC32(0.844681201),
FRAC32(0.849066083), FRAC32(0.853393236),
FRAC32(0.857662367), FRAC32(0.861873185), FRAC32(0.866025404)
};
//tabela do cosseno de 0 a 60 graus 128pts
const Frac32 tabelaCosseno[] =
{
FRAC32(1), FRAC32(0.999966005), FRAC32(0.999864022), FRAC32(0.999694057),
FRAC32(0.999456123),
FRAC32(0.999150236), FRAC32(0.998776416), FRAC32(0.998334689),
FRAC32(0.997825085), FRAC32(0.997247638),

204

FRAC32(0.996602389), FRAC32(0.995889379), FRAC32(0.995108659),


FRAC32(0.994260281), FRAC32(0.993344303),
FRAC32(0.992360787), FRAC32(0.991309801), FRAC32(0.990191414),
FRAC32(0.989005704), FRAC32(0.987752752),
FRAC32(0.986432642), FRAC32(0.985045463), FRAC32(0.983591312),
FRAC32(0.982070285), FRAC32(0.980482487),
FRAC32(0.978828026), FRAC32(0.977107014), FRAC32(0.975319568),
FRAC32(0.97346581), FRAC32(0.971545865),
FRAC32(0.969559865), FRAC32(0.967507944), FRAC32(0.965390242),
FRAC32(0.963206902), FRAC32(0.960958074),
FRAC32(0.95864391), FRAC32(0.956264567), FRAC32(0.953820208),
FRAC32(0.951310998), FRAC32(0.948737108),
FRAC32(0.946098713), FRAC32(0.943395992), FRAC32(0.94062913),
FRAC32(0.937798313), FRAC32(0.934903736),
FRAC32(0.931945594), FRAC32(0.928924089), FRAC32(0.925839426),
FRAC32(0.922691815), FRAC32(0.91948147),
FRAC32(0.916208609), FRAC32(0.912873454), FRAC32(0.909476233),
FRAC32(0.906017176), FRAC32(0.902496519),
FRAC32(0.898914501), FRAC32(0.895271365), FRAC32(0.89156736),
FRAC32(0.887802736), FRAC32(0.88397775),
FRAC32(0.880092663), FRAC32(0.876147737), FRAC32(0.872143243),
FRAC32(0.86807945), FRAC32(0.863956637),
FRAC32(0.859775083), FRAC32(0.855535072), FRAC32(0.851236894),
FRAC32(0.846880839), FRAC32(0.842467205),
FRAC32(0.837996291), FRAC32(0.833468402), FRAC32(0.828883844),
FRAC32(0.824242931), FRAC32(0.819545977),
FRAC32(0.814793301), FRAC32(0.809985228), FRAC32(0.805122084),
FRAC32(0.800204198), FRAC32(0.795231907),
FRAC32(0.790205548), FRAC32(0.785125462), FRAC32(0.779991995),
FRAC32(0.774805497), FRAC32(0.769566319),
FRAC32(0.764274818), FRAC32(0.758931354), FRAC32(0.753536289),
FRAC32(0.748089992), FRAC32(0.742592831),
FRAC32(0.737045182), FRAC32(0.73144742), FRAC32(0.725799927),
FRAC32(0.720103087), FRAC32(0.714357286),
FRAC32(0.708562917), FRAC32(0.702720371), FRAC32(0.696830048),
FRAC32(0.690892347), FRAC32(0.684907671),
FRAC32(0.678876429), FRAC32(0.67279903), FRAC32(0.666675886),
FRAC32(0.660507416), FRAC32(0.654294037),
FRAC32(0.648036172), FRAC32(0.641734247), FRAC32(0.635388691),
FRAC32(0.628999934), FRAC32(0.622568411),
FRAC32(0.61609456), FRAC32(0.60957882), FRAC32(0.603021634),
FRAC32(0.596423449), FRAC32(0.589784713),
FRAC32(0.583105877), FRAC32(0.576387396), FRAC32(0.569629726),
FRAC32(0.562833326), FRAC32(0.55599866),
FRAC32(0.54912619), FRAC32(0.542216386), FRAC32(0.535269716),
FRAC32(0.528286653), FRAC32(0.521267671),
FRAC32(0.514213248), FRAC32(0.507123864), FRAC32(0.5)
};

/* Estruturas externas */
extern struct var_type bd_var;
/* Funcoes externas */
extern void Valores_reais (void);
extern void calculosetor (void);
/* Variaveis globais*/
Frac16 D2ang = FRAC16(0);
/* Variaveis globais externas */

205

extern
extern
extern
extern

Frac16
Frac16
Frac32
Frac32

D1_real;
D2mod_real;
D2ang_real;
sub_angulo;

/* User includes (#include below this line is not maintained by Processor


Expert) */
/*
** ===================================================================
**
Event
: TI1_PWM_OnInterrupt (module Events)
**
**
Component
: TI1_PWM [TimerInt]
**
Description :
**
When a timer interrupt occurs this event is called (only
**
when the component is enabled - <Enable> and the events are
**
enabled - <EnableEvent>). This event is enabled only if a
**
<interrupt service/event> is enabled.
**
Parameters : None
**
Returns
: Nothing
** ===================================================================
*/
#pragma interrupt called /* Comment this line if the appropriate
'Interrupt preserve registers' property */
/* is set to 'yes' (#pragma interrupt saveall is
generated before the ISR)
*/
void TI1_PWM_OnInterrupt(void)
{
word posicao;
Frac32 senoangulo;
Frac32 cossenoangulo;
Frac32 tb;
Frac32 ta;
Frac32 tbnovo;
Frac32 tanovo;
Frac16 D1_complementar;
byte erro;
static Frac16 D1_local;
static Frac16 D2mod_local;
static Frac32 D2ang_local = FRAC32(0);
//static word count_D2ang = 0; // Maximo 31179
/* Verificando borda de sincronismo *//*
if((Cmp1_GetCompareStatus() == 2) &&
(count_D2ang >= 1110))
{
count_D2ang = 0;
}
/* Atualiza valor da rampa */
D2ang = (Frac16)(bd_var.count_D2ang>>1);
/* Saturador */
if(bd_var.count_D2ang > 65000) //31080 62160
bd_var.count_D2ang = 0;
// DA1_SetValue16(&count_D2ang);
bd_var.count_D2ang += 370; // 185
AD1_Measure(TRUE);
//D2ang=(Frac16)bd_var.Duty_D2ang;

206

//D2ang = (Frac16)(count_D2ang>>1);
Valores_reais ();
calculosetor ();
D1_local = D1_real; //atribui variaveis
D2mod_local = D2mod_real;//
D2ang_local = D2ang_real; //

D2ang_local = L_sub(D2ang_local, sub_angulo);


posicao = (word)(extract_h(L_mult_ls(D2ang_local,
FRAC16(0.037303078186766))));
senoangulo = tabelaSeno[posicao];
cossenoangulo = tabelaCosseno[posicao];
tb = L_mult_ls(senoangulo, D2mod_local);
//tb=tb*1.15470053838;
tb = L_deposit_h(div_ls(tb,FRAC16(0.866025403784)));
ta = L_mult_ls(senoangulo, FRAC16(0.57735026919));
ta = L_sub(cossenoangulo,ta);
ta = L_mult_ls(ta, D2mod_local);
D1_local=D1_local*10;
if (D1_local >= FRAC16(0.75))
D1_local = FRAC16 (0.75);
else if (D1_local < FRAC16(0))
D1_local = FRAC16(0);

//protecao para D1

D1_complementar = sub(FRAC16(1),D1_local);
ta = ta*10;//transformar na escala de 0 a 1
tanovo = L_mult_ls(ta,D1_complementar);
tanovo = L_add(L_deposit_h(D1_local),tanovo);
if (tanovo >= FRAC32(0.85)) //protecao para ta
tanovo = FRAC32(0.85);
else if (tanovo < L_deposit_h(D1_local))
tanovo = L_deposit_h(add(D1_local,FRAC16(0.0002)));

tb=tb*10; //transformar na escala de 0 a 1


tbnovo = L_add(ta,tb);
tbnovo = L_mult_ls(tbnovo,D1_complementar);
tbnovo = L_add(L_deposit_h(D1_local),tbnovo);
if (tbnovo >= FRAC32(0.96)) //protecao para tb
tbnovo = FRAC32 (0.96);
else if (tbnovo < tanovo)
tbnovo = L_add(tanovo,FRAC32(0.0002));
erro= PWM_HF_SetRatio15(D_CH, (Word16) D1_local);//canal do PWM 0A
erro= PWM_HF_SetRatio15(TA_CH, (Word16) extract_h(tanovo));//2 canal do
PWM 1A
erro= PWM_HF_SetRatio15(TB_CH, (Word16) extract_h(tbnovo));//4 canal do
PWM 2A
PWM_HF_Load();

207

}
/*
** ===================================================================
**
Event
: PWM_HF_OnReload (module Events)
**
**
Component
: PWM_HF [PWMMC]
**
Description :
**
This event is called before PWM cycle according to reload
**
frequency. The event is invoked only when the component is
**
enabled - <Enable> and the events are enabled - <EnableEvent>.
**
This event is enabled only if i<Interrupt service/event> is
**
enabled.
**
Parameters : None
**
Returns
: Nothing
** ===================================================================
*/
#pragma interrupt called /* Comment this line if the appropriate
'Interrupt preserve registers' property */
/* is set to 'yes' (#pragma interrupt saveall is
generated before the ISR)
*/
void PWM_HF_OnReload(void)
{
Sector_PutVal(bd_var.sector);
}
/*
** ===================================================================
**
Event
: AD1_OnEnd (module Events)
**
**
Component
: AD1 [ADC]
**
Description :
**
This event is called after the measurement (which consists
**
of <1 or more conversions>) is/are finished.
**
The event is available only when the <Interrupt
**
service/event> property is enabled.
**
Parameters : None
**
Returns
: Nothing
** ===================================================================
*/
#pragma interrupt called /* Comment this line if the appropriate
'Interrupt preserve registers' property */
/* is set to 'yes' (#pragma interrupt saveall is
generated before the ISR)
*/
void AD1_OnEnd(void)
{
static word leiturasAD[3];
byte erro;
erro=AD1_GetValue((word*)leiturasAD);
//leiturasAD[0]=4963;
//leiturasAD[1]=4963;
//leiturasAD[2]=2590;
bd_var.Duty_D1 = leiturasAD[0];
bd_var.Duty_D2mod = leiturasAD[1];
//bd_var.Duty_D2ang = leiturasAD[2];

/* Leituras propriamente ditas */

208

//status.leitura_AD_ok = TRUE;
}
/*
** ===================================================================
**
Event
: Cmp1_OnCompare (module Events)
**
**
Component
: Cmp1 [FreescaleAnalogComp]
**
Description :
**
This method is invoked when the edge specified by the
**
<Analog comp. mode> property or by the
**
<SetAnalogComparatorMode> method occurs on analog comparator
**
output.
**
This event is available only if the property <Interrupt
**
service/event> is enabled.
**
Parameters : None
**
Returns
: Nothing
** ===================================================================
*/
void Cmp1_OnCompare(void)
{
/* Verificando borda de sincronismo */
if(bd_var.count_D2ang >= 1110)
{
bd_var.count_D2ang = 0;
}
}
/* END Events */
/*
** ###################################################################
**
**
This file was created by Processor Expert 3.00 [04.35]
**
for the Freescale 56800 series of microcontrollers.
**
** ###################################################################
*/

**
/** ###################################################################
**
Filename : Projeto_svmC
**
Project
: Projeto_svm
**
Processor : MC56F8257
**
Version
: Driver 01.14
**
Compiler : Metrowerks DSP C Compiler
**
Date/Time : 24/4/2013, 17:50
**
Abstract :
**
Main module.
**
This module contains user's application code.
**
Settings :
**
Contents :
**
No public methods
**
** ###################################################################*/
/* MODULE Projeto_Aula_VHDL */

209

/* Including needed modules to compile this module/procedure */


#include "Cpu.h"
#include "Events.h"
#include "PWM_HF.h"
#include "eFPWM1.h"
#include "Sector.h"
#include "TI1_PWM.h"
#include "MFR1.h"
#include "DA1.h"
#include "AD1.h"
#include "Cmp1.h"
/* Including shared modules, which are used for whole project */
#include "PE_Types.h"
#include "PE_Error.h"
#include "PE_Const.h"
#include "IO_Map.h"
/* Include's do usuario */
#include "definicoes.h"
#include <math.h>
/* Define's */
/* Typedef's */
/* Constantes */
/* Estruturas */
struct var_type bd_var;
/* Estruturas externas */
/* Unioes */
/* Variaveis globais */
Frac16 D1_real = FRAC16(0);
Frac16 D2mod_real = FRAC16(0);
Frac32 D2ang_real = FRAC32(0);
Frac32 sub_angulo = FRAC16(0);
//bool setorIO[3];
/* Variaveis externas */
extern Frac16 D2mod;
extern Frac16 D2ang;
/* Prototipos */
void calculosetor (void);
void Valores_reais (void);
/* Funcoes externas */
/* Sub-rotinas */
void Valores_reais (void)
{
const Frac32 D1_m=FRAC32(0.33008058608); //para D1 virar 0.1
const Frac32 D2mod_m=FRAC32(0.33008058608);//para D2mod virar 0.1
const Frac32 D2ang_m=FRAC32(0.65982649858);//para D2ang ficar de 0 a
2pi/10 (0.628)
//calculos

210

D1_real = extract_h(L_mult_ls(D1_m,bd_var.Duty_D1));
if (D1_real >= FRAC16(0.75)) //prot para n explodir D2 -- para ter
sempre 1-D1-D2
{
D1_real = FRAC16(0.75);
}
D2mod_real = extract_h(L_mult_ls(D2mod_m,bd_var.Duty_D2mod));
if (D2mod_real >= FRAC16(0.85)) //prot para n explodir D2 -- para ter
sempre 1-D1-D2
{
D2mod_real = FRAC16(0.85);
}
D2ang_real = L_mult_ls(D2ang_m,D2ang);
}
void calculosetor (void)
{
/** Setor 6 -> Setor 1 **/
if((bd_var.sector == SECTOR6) &&
// (D2ang_real < FRAC32(0.104719755119660)) &&
//(D2ang_real >= FRAC32(0)))
(D2ang_real < FRAC32(0.100949843935352)) &&
(D2ang_real >= FRAC32(0)))
{
sub_angulo = FRAC32(0);
bd_var.sector = SECTOR1;
}
/** Setor 1 -> Setor 2 **/
else if((bd_var.sector == SECTOR1) &&
//(D2ang_real < FRAC32(0.209439510239320)) &&
//(D2ang_real >= FRAC32(0.104719755119660)))
(D2ang_real < FRAC32(0.205669599055012)) &&
(D2ang_real >= FRAC32(0.100949843935352)))
{
sub_angulo = FRAC32(0.100949843935352);
bd_var.sector = SECTOR2;
}
/** Setor 2 -> Setor 3 **/
else if((bd_var.sector == SECTOR2) &&
//(D2ang_real < FRAC32(0.314159265358979)) &&
//(D2ang_real >= FRAC32(0.209439510239320)))
(D2ang_real < FRAC32(0.310389354174672)) &&
(D2ang_real >= FRAC32(0.205669599055012)))
{
sub_angulo = FRAC32(0.205669599055012);
bd_var.sector = SECTOR3;
}
/** Setor 3 -> Setor 4 **/
else if((bd_var.sector == SECTOR3) &&
//(D2ang_real < FRAC32(0.418879020478639)) &&
//(D2ang_real >= FRAC32(0.314159265358979)))

211

(D2ang_real < FRAC32(0.415109109294331)) &&


(D2ang_real >= FRAC32(0.310389354174672)))
{
sub_angulo = FRAC32(0.310389354174672);
bd_var.sector = SECTOR4;
}
/** Setor 4 -> Setor 5 **/
else if((bd_var.sector == SECTOR4) &&
//(D2ang_real < FRAC32(0.523598775598299)) &&
//(D2ang_real >= FRAC32(0.418879020478639)))
(D2ang_real < FRAC32(0.519828864413991)) &&
(D2ang_real >= FRAC32(0.415109109294331)))
{
sub_angulo = FRAC32(0.415109109294331);
bd_var.sector = SECTOR5;
}
/** Setor 5 -> Setor 6 **/
else if ((bd_var.sector == SECTOR5) &&
//(D2ang_real >= FRAC32(0.523598775598299)))
(D2ang_real >= FRAC32(0.519828864413991)))
{
sub_angulo = FRAC32(0.519828864413991);
bd_var.sector = SECTOR6;
}
/** Setor XX **/
else
{
bd_var.sector = bd_var.sector;
}
}
void main(void)
{
byte dac_val = 121;
/*** Processor Expert internal initialization. DON'T REMOVE THIS CODE!!!
***/
PE_low_level_init();
/*** End of Processor Expert internal initialization.
***/
/* Inicializacao das variaveis */
bd_var.Duty_D1 = 0;
// maximo de 9927 (25% = 2482)
bd_var.Duty_D2mod = 0; // maximo de 9927 (35% = 3474)
bd_var.Duty_D2ang = 0; // 50%
bd_var.sector = SECTOR1;
bd_var.count_D2ang = 0;
DA1_SetValue8(&dac_val);
/* Atualizacao da razao ciclica de alta frequencia */
PWM_HF_SetRatio15(D_CH, 0);
PWM_HF_SetRatio15(TA_CH, 0);
PWM_HF_SetRatio15(TB_CH, 0);
PWM_HF_Load();
PWM_HF_Enable();

212

for(;;) {
}

/* END */
/*
** ###################################################################
**
**
This file was created by Processor Expert 3.00 [04.35]
**
for the Freescale 56800 series of microcontrollers.
**
** ###################################################################
*/
Fonte: Prprio autor.

C.2 - Linguagem VHDL para o FPGA

O dispositivo FPGA XC3S200 foi programado em linguagem VHDL utilizando o


ambiente ISE. Este cdigo faz a sincronizao dos setores e realiza a distribuio dos pulsos
para as chaves. O dispositivo recebe 1 palavra de 6 bits vinda do DSC, que contm os setores
e os tempos para D, Ta e Tb. Como sada este dispositivo fornece os pulsos de chaveamento
para as 7 chaves do Inversor (S0 S6).
Tabela 17 - Cdigo em VHDL para a seleo dos pulsos de chaveamento.
-- Create Date:
17:46:32 04/25/2013
-- Design Name:
-- Module Name:
BB_CSI_no_interlock - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--- Dependencies:
--- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
---------------------------------------------------------------------------------library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use ieee.numeric_std.all;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity BB_CSI is

213

port(clock: in std_logic;
DTvec: in std_logic_vector (2 downto 0); -- D, TA, TB
sect: in std_logic_vector (2 downto 0);
sec_out: out std_logic_vector (2 downto 0);
S:
buffer std_logic_vector(6 downto 0) := "0000000"
);
end BB_CSI;
architecture Behavioral of BB_CSI is
-- *** Saida PWM
-- Espera dos sinais
constant PWM_table_AG: std_logic_vector (6 downto 0) := "0000000"; -Aguarda
-- Energia D1
constant PWM_table_D: std_logic_vector (6 downto 0) := "0000001"; -- D
-- Transferencia de energia A
type PWM_decode_TA is array (1 to 6) of std_logic_vector (6 downto 0);
constant PWM_table_TA: PWM_decode_TA :=
(("0010010"), -- Ta1
[1]
("1000010"), -- Ta2
[2]
("1001000"), -- Ta3
[3]
("0001100"), -- Ta4
[4]
("0100100"), -- Ta5
[5]
("0110000") -- Ta6
[6]
);
-- Transferencia de energia B
type PWM_decode_TB is array (1 to 6) of std_logic_vector (6 downto 0);
constant PWM_table_TB: PWM_decode_TB :=
(("1000010"), -- Tb1
[1]
("1001000"), -- Tb2
[2]
("0001100"), -- Tb3
[3]
("0100100"), -- Tb4
[4]
("0110000"), -- Tb5
[5]
("0010010") -- Tb6
[6]
);
-- Mantem a energia acumulada
type PWM_decode_MT is array (1 to 6) of std_logic_vector (6 downto 0);
constant PWM_table_MT: PWM_decode_MT :=
(("0000110"), -- Mantem1 [1]
("1100000"), -- Mantem2 [2]
("0011000"), -- Mantem3 [3]
("0000110"), -- Mantem2 [4]
("1100000"), -- Mantem3 [5]
("0011000") -- Mantem2 [6]
);
-- Maquina de estado que define o setor
type sector_state_type is (SETOR1, SETOR2, SETOR3, SETOR4, SETOR5, SETOR6);
signal estado_setor: sector_state_type := SETOR1;
-- Maquina de estado que define tempo em fs
type tempo_state_type is (D_STATE, TA_STATE, TB_STATE, MANTEM_STATE,
SD_STATE, STA_STATE, STB_STATE,
SMANTEM_STATE);
signal estado_tempo: tempo_state_type := MANTEM_STATE;

214

begin

-- ***** Processos ***** --- Atualizacao dos tempos


tempos: process(clock, DTvec)
--Contadores para Short-time
constant max_count: integer := 10;
variable count_D, count_TA, count_TB, count_MT: integer range 0 to
max_count := 0;
begin
if (rising_edge(clock)) then
tmp0: case DTvec is
when "111" => estado_tempo <= SD_STATE;
count_TA := 0; count_TB := 0; count_MT := 0; -- zera contadores
if(count_D = max_count) then
estado_tempo <= D_STATE;
else
count_D := count_D+1;
end if;
when "011" => estado_tempo <= STA_STATE;
count_D := 0; count_TB := 0; count_MT := 0; -- zera contadores
if(count_TA = max_count) then
estado_tempo <= TA_STATE;
else
count_TA := count_TA+1;
end if;
when "001" => estado_tempo <= STB_STATE;
count_D := 0; count_TA := 0; count_MT := 0; -- zera contadores
if(count_TB = max_count) then
estado_tempo <= TB_STATE;
else
count_TB := count_TB+1;
end if;
when others => estado_tempo <= SMANTEM_STATE;
count_D := 0; count_TA := 0; count_TB := 0; -- zera contadores
if(count_MT = max_count) then
estado_tempo <= MANTEM_STATE;
else
count_MT := count_MT+1;
end if;
end case tmp0;
end if;
end process tempos;
-- Atualizacao do setor
setor: process(clock, sect)
constant max_count: integer := 3;
variable c1, c2, c3, c4, c5, c6: integer range 0 to max_count := 0;
begin
if(rising_edge(clock)) then
if(estado_tempo = D_STATE) then
sec: case sect is
when "001" => c2 := 0; c3 := 0; c4 := 0; c5 := 0; c6 := 0;
if(estado_setor = SETOR6) then
c1 := c1+1;
if(c1 = max_count) then

215

c1 := 0;
estado_setor <= SETOR1;
sec_out <= "001";
end if;
end if;
when "010" => c1 := 0; c3 := 0; c4 := 0; c5 := 0; c6 :=
0;
if(estado_setor = SETOR1) then
c2 := c2+1;
if(c2 = max_count) then
c2 := 0;
estado_setor <= SETOR2;
sec_out <= "010";
end if;
end if;
when "011" => c1 := 0; c2 := 0; c4 := 0; c5 := 0; c6 := 0;
if(estado_setor = SETOR2) then
c3 := c3+1;
if(c3 = max_count) then
c3 := 0;
estado_setor <= SETOR3;
sec_out <= "011";
end if;
end if;
when "100" => c1 := 0; c2 := 0; c3 := 0; c5 := 0; c6 := 0;
if(estado_setor = SETOR3) then
c4 := c4+1;
if(c4 = max_count) then
c4 := 0;
estado_setor <= SETOR4;
sec_out <= "100";
end if;
end if;
when "101" => c1 := 0; c2 := 0; c3 := 0; c4 := 0; c6 := 0;
if(estado_setor = SETOR4) then
c5 := c5+1;
if(c5 = max_count) then
c5 := 0;
estado_setor <= SETOR5;
sec_out <= "101";
end if;
end if;
when "110" => c1 := 0; c2 := 0; c3 := 0; c4 := 0; c5 := 0;
if(estado_setor = SETOR5) then
c6 := c6+1;
if(c6 = max_count) then
c6 := 0;
estado_setor <= SETOR6;
sec_out <= "110";
end if;
end if;
when others => estado_setor <= estado_setor;
c1 := 0; c2 := 0; c3 := 0; c4 := 0; c5 := 0; c6 := 0;
end case sec;
end if;
end if;
end process setor;
-- Atualizacao dos pulsos
pulsos: process(clock)
begin

216

if(rising_edge(clock)) then
pul: case estado_tempo is
-- Estado Short-time D
when SD_STATE =>
case estado_setor is
when SETOR1 => S <=
when SETOR2 => S <=
when SETOR3 => S <=
when SETOR4 => S <=
when SETOR5 => S <=
when SETOR6 => S <=
when others => S <=
end case;

PWM_table_D OR
PWM_table_D OR
PWM_table_D OR
PWM_table_D OR
PWM_table_D OR
PWM_table_D OR
PWM_table_AG;

PWM_table_MT(1);
PWM_table_MT(2);
PWM_table_MT(3);
PWM_table_MT(4);
PWM_table_MT(5);
PWM_table_MT(6);

-- Estado D
when D_STATE =>
case estado_setor is
when SETOR1 => S <= PWM_table_D;
when SETOR2 => S <= PWM_table_D;
when SETOR3 => S <= PWM_table_D;
when SETOR4 => S <= PWM_table_D;
when SETOR5 => S <= PWM_table_D;
when SETOR6 => S <= PWM_table_D;
when others => S <= PWM_table_AG;
end case;
-- Estado Short-time TA
when STA_STATE =>
case estado_setor is
when SETOR1 => S <=
when SETOR2 => S <=
when SETOR3 => S <=
when SETOR4 => S <=
when SETOR5 => S <=
when SETOR6 => S <=
when others => S <=
end case;
-- Estado Ta
when TA_STATE =>
case estado_setor is
when SETOR1 => S <=
when SETOR2 => S <=
when SETOR3 => S <=
when SETOR4 => S <=
when SETOR5 => S <=
when SETOR6 => S <=
when others => S <=
end case;

PWM_table_TA(1)
PWM_table_TA(2)
PWM_table_TA(3)
PWM_table_TA(4)
PWM_table_TA(5)
PWM_table_TA(6)
PWM_table_AG;

OR
OR
OR
OR
OR
OR

PWM_table_D;
PWM_table_D;
PWM_table_D;
PWM_table_D;
PWM_table_D;
PWM_table_D;

PWM_table_TA(1);
PWM_table_TA(2);
PWM_table_TA(3);
PWM_table_TA(4);
PWM_table_TA(5);
PWM_table_TA(6);
PWM_table_AG;

-- Estado Short-time Tb
when STB_STATE =>
case estado_setor is
when SETOR1 => S <= PWM_table_TB(1) OR PWM_table_TA(1);
when SETOR2 => S <= PWM_table_TB(2) OR PWM_table_TA(2);
when SETOR3 => S <= PWM_table_TB(3) OR PWM_table_TA(3);
when SETOR4 => S <= PWM_table_TB(4) OR PWM_table_TA(4);
when SETOR5 => S <= PWM_table_TB(5) OR PWM_table_TA(5);
when SETOR6 => S <= PWM_table_TB(6) OR PWM_table_TA(6);
when others => S <= PWM_table_AG;
end case;
-- Estado Tb

217

when TB_STATE =>


case estado_setor is
when SETOR1 => S
when SETOR2 => S
when SETOR3 => S
when SETOR4 => S
when SETOR5 => S
when SETOR6 => S
when others => S
end case;

<=
<=
<=
<=
<=
<=
<=

PWM_table_TB(1);
PWM_table_TB(2);
PWM_table_TB(3);
PWM_table_TB(4);
PWM_table_TB(5);
PWM_table_TB(6);
PWM_table_AG;

-- Estado Mantem
when SMANTEM_STATE =>
case estado_setor is
when SETOR1 => S <= PWM_table_MT(1) OR
when SETOR2 => S <= PWM_table_MT(2) OR
when SETOR3 => S <= PWM_table_MT(3) OR
when SETOR4 => S <= PWM_table_MT(4) OR
when SETOR5 => S <= PWM_table_MT(5) OR
when SETOR6 => S <= PWM_table_MT(6) OR
when others => S <= PWM_table_AG;
end case;
-- Estado Mantem
when MANTEM_STATE =>
case estado_setor is
when SETOR1 => S <= PWM_table_MT(1);
when SETOR2 => S <= PWM_table_MT(2);
when SETOR3 => S <= PWM_table_MT(3);
when SETOR4 => S <= PWM_table_MT(4);
when SETOR5 => S <= PWM_table_MT(5);
when SETOR6 => S <= PWM_table_MT(6);
when others => S <= PWM_table_AG;
end case;
-- Estado de erro, Aguardar
when others => S <= PWM_table_AG;
end case pul;
end if;
end process pulsos;
end Behavioral;
Fonte: Prprio autor.

PWM_table_TB(1);
PWM_table_TB(2);
PWM_table_TB(3);
PWM_table_TB(4);
PWM_table_TB(5);
PWM_table_TB(6);

218

C.3 - Programao do Controle para o DSPACE


O dispositivo DSPACE ACE1104 foi programado em diagrama de blocos da mesma forma que se utiliza o ambiente MatLab/Simulink .
Esta tela apresentada a seguir:
Figura 172 - Programao do inversor buck-Boost tri-state

Fonte: Prprio autor.

219

C.3.1 - Tela do ControlDesk para controle em tempo real


A interface grfica para o controle em tempo real do sistema apresentada a seguir:
Figura 173 - Tela para controle em tempo real do inversor buck-Boost tri-state

Fonte: Prprio autor.

220

Apndice D - Desenho da PCI


Figura 174 - Esquemtico da Placa de Potncia - Inversor Buck-Boost Tri-State

Fonte: Prprio autor usando Eagle 5.11.

You might also like