Professional Documents
Culture Documents
Ilha Solteira.
2013.
Orientador
Prof. Dr. Carlos Alberto Canesin
Ilha Solteira.
2013.
Deus.
minha amada esposa e aos meus queridos pais.
Agradecimentos
Deus pela vida e por colocar em meu caminho todas as pessoas e condies
necessrias para o meu desenvolvimento pessoal e profissional.
meus pais, Moacyr de Brito e Alice Gomes dos Santos de Brito, sempre presentes,
que me incentivaram durante toda a jornada, me fazendo acreditar que tudo possvel quando
se tem f e fora de vontade.
Ao Prof. Dr. Carlos Alberto Canesin pela confiana em mim depositada e por no medir
esforos para disponibilizar e adquirir itens fundamentais para o xito da pesquisa. Ainda pelo
incentivo incessante pela produo de artigos cientficos de qualidade.
Ao amigo Leonardo Poltronieri Sampaio pela oportunidade de desenvolvermos o
inversor Boost CSI monofsico e pela implementao da interface grfica para o
gerenciamento dos emuladores de painis solares. Ao amigo Luigi Galotto Junior pela
oportunidade de realizarmos todos os testes experimentais e refinamentos necessrios no
inversor integrado Buck-Boost Tri-State monofsico e pela oportunidade de realizarmos
diversas simulaes dos inversores integrados monofsicos no ambiente MatLab/Simulink.
Ao amigo Jos Carlos Pea pela oportunidade de desenvolvermos o inversor Buck-Boost
integrado monofsico. Ao amigo Marcos Gutierrez Alves pela oportunidade de
desenvolvermos um projeto de pesquisa e de desenvolvimento de um inversor solar para a
empresa WEG e pela fundamental ajuda durante os aprimoramentos de programao para o
funcionamento do inversor Trifsico Integrado Buck-Boost Tri-State. Ao amigo e Prof. Dr.
Guilherme de Azevedo e Melo pelas contribuies durante diversas discusses acerca dos
resultados experimentais.
minha querida esposa, Eliana da Costa Alvarenga de Brito, por entender que a
ausncia foi necessria para o meu aprimoramento profissional e pelo constante incentivo,
ainda maior, quando as dificuldades se sobressaiam s conquistas.
minha irm Deise Gomes de Brito e minha av Olvia Alves Gomes pelo carinho e
pela alegria demonstrada, sempre que eu alcanava um objetivo.
Aos Profs. Dr. Henrique Braga e Dr. Fernando Soares dos Reis pelas importantes e
significativas contribuies quando da presena na banca de qualificao de doutorado.
Aos Profs. Dr. Falcondes Jos Mendes de Seixas, Dr. Guilherme de Azevedo e Melo,
Dr. Luis Carlos de Freitas e Dr. Joo Batista Vieira pelas importantes e significativas
contribuies quando da presena na defesa desta tese de doutorado.
Ao funcionrio Valdemir Chaves e ao amigo Rodrigo Nunes pelo auxlio durante a
usinagem dos dissipadores para a implementao dos prottipos.
FAPESP (Fundao de Amparo Pesquisa do Estado de So Paulo) pelo apoio
financeiro concedido, possibilitando o desenvolvimento deste trabalho e permitindo a
participao em congressos.
Resumo
Esta proposta de tese se baseia na necessidade atual e tendncia mundial na busca por
sistemas cada vez mais eficientes e que se baseiem em fontes de energia alternativas menos
poluentes, renovveis e que produzam pouco impacto ambiental. Desta forma, so
desenvolvidos sistemas de gerao de energia eltrica de pequeno porte baseado em painis
solares fotovoltaicos. Com o intuito de aumentar o rendimento e a densidade de potncia dos
sistemas, so apresentadas novas estruturas de inversores monofsicos e trifsicos integrados
para aplicao como sistemas isolados e/ou conectados rede de distribuio em corrente
alternada (CA), operando como sistemas de gerao distribudos. Os inversores integrados so
apresentados com o intuito de substituir os usuais sistemas de converso de energia a duplo
estgio. Incorporado aos inversores integrados so implementados mtodos e dispositivos
para extrao da mxima potncia possvel dos painis fotovoltaicos (algoritmo de MPPT),
aumentando assim o aproveitamento de energia advinda destes painis. Alm disso, em
virtude da necessidade de conexo segura destes sistemas rede de distribuio de energia
eltrica em corrente alternada, so realizadas anlises e a implementao de mtodos de
sincronismo com a rede de CA em baixa tenso; alm da anlise e sntese de mtodos hbridos
para deteco e gesto do efeito de ilhamento, a fim de garantir a segurana do sistema. O
controle dos conversores e as tcnicas de MPPT, gesto e deteco de ilhamento, bem como
de sincronismo com a rede em CA so implementadas de forma digital, com o objetivo de
propiciar maior flexibilidade na concepo das lgicas de controle adequadas s aplicaes
propostas. Finalmente, destaca-se que o trabalho trouxe contribuies significativas para a
melhoria na implementao dos algoritmos de MPPT P&O e IC, que foram denominados de
P&O e IC baseados em PI. Tambm foram apresentadas as etapas de integrao para a
obteno de novas famlias de inversores integrados monofsicos e trifsicos, destacando a
inovao das topologias ZETA/Cuk integradas. Ainda, destaca-se que esta a primeira tese
que apresenta os inversores tri-state trifsicos em conexo com a rede de distribuio de
energia eltrica. Inversores estes que foram dotados de uma inovao no controle e em sua
modulao, que leva ao controle independente entre entrada e sada, facilitando a busca do
MPPT e a injeo de potncia na rede eltrica.
Abstract
This work is based on the actual necessity and world tendency for high-efficiency
systems based on renewable energy sources which are less pollutant and produces little
environmental impact, and, for this purpose, it is developed some photovoltaic electrical
generation systems to operate as a small distribution generation system (DG). With the
purpose to increase efficiency and power density, new single-phase and three-phase integrated
inverter topologies are presented for operating as stand-alone and/or grid-connected systems.
The main idea of these inverters is the replacement of the conventional two-stage cascaded
solutions. Main maximum power point tracking techniques are implemented and tested in
order to increase energy utilization. Furthermore, because of safe-operation grid connection
necessities this work presents analysis and implementation of active and hybrid anti-island
detection techniques and synchronization methods with the AC low voltage grid. The
converters control system and MPPT techniques, anti-island detection and management
system together with the synchronization are implemented digitally, with the purpose of
increasing flexibility for the overall control circuitry. Finally, this work has presented
significant contributions regarding the P&O and IC based on PI MPPT techniques and has
presented the integration procedures in order to obtain new families of single and three-phase
inverters. Moreover, this is the first thesis that has presented the tri-state three-phase inverters
injecting power into the grid. These inverters have inovations at its control and modulation
that permit the input to output decoupling, making these inverters attractive to interface
renewable energy sources as photovoltaics.
Keywords: Photovoltaic energy conversion. Integrated inverters. MPPT. Island and gridsynchronization.
Lista de Figuras
Figura 25 - Comportamento dinmico dos algoritmos de MPPT. (a) Degrau negativo (200W100W); (b) Degrau positivo (100W-200W); (c) Inicializao (0W-200W).
Escalas: Tenso (20V/div); Corrente (5A/div); Potncia (100W/div) e Tempo: (a)
e (b) (20ms/div) e (c) (200ms/div). ....................................................................... 61
Figura 26 - Inicializao do Mtodo da Correlao. Potncia (100W;div); Tenso (20V/div);
Corrente (5A/div) e Tempo (20ms/div). ................................................................ 62
Figura 27 - Interface grfica amigvel ao usurio: Ponto de operao fixo. ............................ 62
Figura 28 - Interface grfica amigvel ao usurio: Perfis de potncia variveis. ..................... 63
Figura 29 - Energia extrada utilizando os mtodos Vcte, P&O e Beta e IC baseado em PI. .. 64
Figura 30 - Energia extrada aplicando perfil de potncia similar ao dirio. ........................... 65
Figura 31 - Energia extrada aplicando perfil de potncia similar ao dirio e aquisio usando
o osciloscpio. Formas de onda da direita supe uso de rastreador solar. ............ 65
Figura 32 - Modelo para testes dos algoritmos de Anti-Ilhamento. ......................................... 70
Figura 33 - Estratgia de injeo de potncia ativa em sincronismo com a rede. .................... 70
Figura 34 - Alterao no controle para injeo de potncia reativa. ........................................ 71
Figura 35 - Alterao no PLL para o mtodo AFD. ................................................................. 72
Figura 36 - Alterao na sada do PLL para o mtodo SMS. ................................................... 73
Figura 37 - Alterao na sada do PLL para o mtodo SFS. .................................................... 73
Figura 38 - Modelo para teste do algoritmo SVS. .................................................................... 74
Figura 39 - Modelo para teste do mtodo de medio de impedncia. .................................... 75
Figura 40 - Efeito aps ilhamento para potncia gerada igual potncia consumida.............. 76
Figura 41 - Efeito aps ilhamento para potncia gerada maior do que a potncia consumida. 76
Figura 42 - Efeito aps ilhamento para potncia gerada menor do que a potncia consumida.
............................................................................................................................... 76
Figura 43 - Mudana de frequncia aps desconexo da rede. ................................................ 77
Figura 44 - Curva do algoritmo e da carga local em funo da frequncia. ............................. 78
Figura 45 - Mudana de frequncia aps desconexo da rede. ................................................ 78
Figura 46 - Curva do algoritmo e da carga local em funo da frequncia. ............................. 79
Figura 47 - Mudana de frequncia aps desconexo da rede. ................................................ 79
Figura 48 - Mudana de frequncia aps desconexo da rede. ................................................ 80
Figura 49 - Reduo do valor RMS de tenso at a deteco pelo algoritmo. ......................... 80
Figura 50 - Tecnologia de nico conversor centralizado para aplicao com mltiplos painis
PVs......................................................................................................................... 83
Figura 51 - Principais estratgias de conversores. .................................................................... 85
Figura 79 - Formas de onda para o inversor boost de 3 estados. (a) Corrente no indutor. (b)
Correntes injetadas na rede. ................................................................................. 111
Figura 80 - Formas de onda para o inversor buck-boost de 3 estados. (a) Corrente no indutor.
(b) Correntes injetadas na rede. ........................................................................... 111
Figura 81 - Rampa de injeo de corrente na rede (a) Boost Integrado de 3 estados. (b) Boost
Integrado .............................................................................................................. 112
Figura 82 - Conversores Integrados Implementados. ............................................................. 112
Figura 83 - Principais formas de onda para o Inversor Boost Integrado. ............................... 113
Figura 84 - Anlise de um degrau de carga aplicado ao Inversor Boost Integrado ................ 114
Figura 85 - Principais formas de onda do Inversor Buck-Boost ............................................ 114
Figura 86 - Principais formas de onda do Inversor uk ......................................................... 115
Figura 87 - Resposta ao degrau de Carga ............................................................................... 115
Figura 88 - Principais formas de onda do Inversor Zeta ........................................................ 116
Figura 89 - Resposta ao degrau de Carga ............................................................................... 116
Figura 90 - Partida dos conversores de 3 estados. (a) Boost; (b) Buck-Boost. Tenso de Sada
em Azul e Corrente no Indutor em Verde. Escalas: Tenso (100V/div); Corrente
(10A/div); Tempo (100ms/div). .......................................................................... 117
Figura 91 - Principais formas de onda do Conversor Boost de 3 estados no modo isolado.
Escalas: Tenso (200V/div); Corrente (15A/div); Tempo (10ms/div). ............... 117
Figura 92 - Principais formas de onda do Conversor Buck-Boost de 3 estados no modo
isolado. Escalas: Tenso (200V/div); Corrente (15A/div); Tempo (10ms/div). . 118
Figura 93 - Tenses de sada do conversor Buck-Boost de 3 estados. Tenso do conversor em
verde (100V/div) e Tenso da rede em azul (100V/div); Tempo (10ms/div). .... 118
Figura 94 - Tenses de sada, Corrente injetada na rede e potncia instantnea. Tenso da
rede em azul (100V/div), Corrente injetada (2A/div) e potncia instantnea na
rede (100VA/div); Tempo (20ms/div). ................................................................ 119
Figura 95 - Formas de onda para operao simultnea em stand-alone e grid-tied. Tenso da
rede em verde (100V/div), Corrente injetada em rosa (2A/div), tenso do
conversor em azul (100V/div) e corrente na carga local (2A/div); Tempo
(20ms/div). ........................................................................................................... 119
Figura 96 - Formas de onda para a desconexo do conversor da rede de distribuio em CA.
............................................................................................................................. 120
Figura 97 - Formas de onda para a reconexo automtica do conversor rede ..................... 120
Figura 128 - Tenses e correntes na carga local durante degrau de carga. ............................ 154
Figura 129 - Correntes injetadas na rede com rampa de inicializao. .................................. 154
Figura 130 - Detalhe da corrente injetada na rede. ................................................................. 154
Figura 131 - Tenses nos capacitores de sada. ...................................................................... 155
Figura 132 - Deslocamento angular entre as tenses da fase "a" do capacitor e da rede. ...... 155
Figura 133 - Corrente no indutor de acumulao. .................................................................. 156
Figura 134 - Potncia drenada do PV ..................................................................................... 157
Figura 135 - Corrente injetada na rede. .................................................................................. 157
Figura 136 - Modelo de Simulao incluindo o Painel Fotovoltaico. .................................... 158
Figura 137 - Arranjo experimental para os testes com o inversor. ......................................... 159
Figura 138 - Detalhes da implementao do inversor. ........................................................... 159
Figura 139 - Verificao dos sinais de controle digitais referentes modulao do inversor.
............................................................................................................................. 160
Figura 140 - Sinais referentes aos tempos de curto, transferncia e mantm. ........................ 161
Figura 141 - Modulao em alta frequncia. .......................................................................... 161
Figura 142 - Pulsos de gate para o acionamento das chaves do inversor. Amarelo: Chave Sp;
Verde: Chave S1; Vermelho: Chave S5 e Rosa: Chave S4. .................................. 162
Figura 143 - Principais formas de onda para o inversor Buck-Boost. Ciano: Corrente no
indutor (5A/div); Amarelo: Tenso na fase "a" (medida no sensor LEM - 4,7V
representam 180 Vpico); Verde: Corrente na fase "a" (5A/div) e Vermelho:
Corrente na fase "c" (5A/div). Tempo: 10ms/div. ............................................... 164
Figura 144 - Principais formas de onda para o inversor Buck-Boost. Ciano: Corrente no
indutor (5A/div); Amarelo: Tenso na fase "a" (medida no sensor LEM - 4,7V
representam 180 Vpico); Verde: Corrente na fase "a" (5A/div) e Vermelho:
Corrente na fase "b" (5A/div). Tempo: (10ms/div). ............................................ 164
Figura 145 - Principais formas de onda para o inversor Buck-Boost. Ciano: Corrente no
indutor (5A/div); Amarelo: Tenso na fase "a" (medida no sensor LEM - 4,7V
representam 180 Vpico); Verde: Corrente na fase "a" (5A/div) e Vermelho:
Corrente na fase "b" (5A/div). Tempo: (10ms/div). ............................................ 165
Figura 146 - Formas de onda para as correntes trifsicas de sada do inversor Buck-Boost.
Verde: Corrente na fase "a" (2A/div), Vermelho: Corrente na fase "b" (2A/div) e
Rosa: Corrente na fase "c" (2A/div) Tempo: (10ms/div). (a) at (f): potncia de
sada aproximada em watts. ................................................................................. 166
Figura 165 - Medio do fator de potncia (a) e da taxa de distoro harmnica (b), para a
potncia de 1kW. ................................................................................................. 177
Figura 166 - Medio do fator de potncia (a) e da taxa de distoro harmnica (b), para a
potncia de 1,3kW. .............................................................................................. 177
Figura 167 - Esquemtico da Placa de Potncia. .................................................................... 197
Figura 168 - Esquemtico da Placa de Potncia - Circuitos de ataque de Gate. .................... 198
Figura 169 - Esquemtico da Placa de Sensoreamento Parte 1-2........................................... 199
Figura 170 - Esquemtico da Placa de Sensoreamento Parte 2-2........................................... 200
Figura 171 - Esquemtico da Fonte Auxiliar.......................................................................... 201
Figura 172 - Programao do inversor buck-Boost tri-state................................................... 218
Figura 173 - Tela para controle em tempo real do inversor buck-Boost tri-state................... 219
Figura 174 - Esquemtico da Placa de Potncia - Inversor Buck-Boost Tri-State ................. 220
Lista de Tabelas
Lista de Abreviaturas
PV
Painel fotovoltaico
GDEE
MPP
PMAX
PMMP
MPPT
VMPP
VOC
STC
ISC
P&O
Perturbao e observao
Mod P&O
IC
Condutncia incremental
Mod IC
D Cte
V Cte
IA
Inteligncia artificial
PCC
NDZ
Zona de no deteco
NPC
DHT
PLL
IEC
IEEE
PI
Proporcional-integral
RLC
FPGA
DSC
SMS
AFD
SFS
SVS
CA
Corrente alternada
CC
Corrente contnua
DFT
FC
Fuel Cell
FR
Fator de rastreamento
RMS
Valor eficaz
VSI
CSI
SVM
IGBT
RB-IGBT
MCC
SEPIC
Lista de Smbolos
Carga do eltron
Temperatura
Tr
Temperatura de referncia
Ns
Constante de Boltzmann
Rs
Resistncia srie do PV
Rp
Resistncia paralela do PV
VPV
Tenso do PV
IPV
Corrente do PV
Irr
Iph
Fotocorrente
Psun
EG
ISC
VOC
Variao de tenso
LB
SB
DB
CB
RL
Carga resistiva
fs
Frequncia de chaveamento
f(x)
Funo matemtica
f'(x)
fq(t)
Qf
Fator de qualidade
Frequncia da rede
Potncia ativa
Pin
Potncia de entrada
Pout
Potncia de sada
Vcarga
Chf
Tz
Perodo da rede
max
fpll
fmax
Cf
cf0
Dn
BF
AF
Vin
Tenso de entrada
Lb
L2
Sb
Chave controlada
Db
Diodo
Cb
Capacitor de barramento CC
S1-12
D1-6
Lo
Indutor de sada
Co
Capacitor de sada
C2
Vdc
Sbb
Lbb
Sc
Lc
Cc
Sz
Lz
Cz
Ss
Ls
Cs
Ls2
Lac
Indutor de rede
La
Lb
Lc
Cac
Capacitor de rede
Ca
Cb
Cc
Vac
Tenso da rede
Ea
Eb
Ec
Ed
Eq
VCa
VCb
VCc
Vref
Tenso de referncia
kv
ki
Vout
Tenso de sada
Razo cclica
D1
D2
Ci(s)
Compensador de corrente
Cv(s)
Compensador de tenso
Gid
Gvi
Saux
Velocidade angular
Ta
Tb
Tnull
|V|
Mdulo da tenso
Vp
Ip
Io
Corrente de sada
Vo
Po
VLinha
LB
RLB
ILB
Vca
Ro
fNyq
Frequncia de Nyquist
fa
Frequncia de amostragem
IS1avg_T
IS1avg_frede
IS1rms_T
IS1rms_frede
Vo
Vd
Vq
Rendimento
Sumrio
Referncias..........................................................................................................................182
27
Captulo 1
Reviso Bibliogrfica Geral
28
Apesar de todas as vantagens apresentadas pela gerao de energia atravs do uso dos
painis fotovoltaicos, a eficincia da converso de energia atualmente baixa e o custo inicial
para sua implantao ainda considerado muito elevado; e desta forma, torna-se necessrio a
utilizao de tcnicas de extrao da mxima potncia possvel (MPPT Maximum Power
Point Tracking) destes painis, para se obter mxima eficincia em operao (DESAI;
PATEL, 2007; ESRAM; CHAPMAN, 2007; PANDEY et al., 2007; FARANDA et al., 2008;
LAIRD et al., 2008; JAEN et al., 2008). Considerando-se um nico PV, necessrio salientar
que h apenas um ponto de mxima potncia (MPP Maximum Power Point), e este varia de
acordo com as condies climticas e de temperatura (DESAI; PATEL, 2007; FARANDA et
al., 2008). Os painis fotovoltaicos apresentam caractersticas eltricas no lineares de tenso
versus corrente, conforme exemplificado na Figura 1(a), as quais variam de acordo com o
nvel de radiao solar e de temperatura e que tornam a extrao desta mxima potncia uma
tarefa complexa, considerando-se variaes metereolgicas. Para superar este problema,
vrios mtodos para extrao da mxima potncia tm sido propostos na literatura (DESAI;
PATEL, 2007; ESRAM; CHAPMAN, 2007; PANDEY et al., 2007; FARANDA et al., 2008;
JAEN et al., 2008). Como exemplo, tm-se os mtodos da Tenso Constante, da Tenso de
Circuito Aberto, de Curto-Circuito por Pulsos, da Perturbao e Observao, da Condutncia
Incremental, Hill Climbing, Beta, Correlao de Ripple, Oscilao do Sistema e os mtodos
baseados em temperatura e tambm em inteligncia artificial.
Figura 1- Caractersticas de um painel fotovoltaico para uma condio fixa de temperatura e irradiao solar. (a)
Curva corrente versus tenso. (b) Curva potncia versus tenso.
(a)
(b)
29
mesmo quando a intensidade da irradiao solar se altera. Assim, assegurando-se que a tenso
no mdulo permanea constante possvel operar prximo do MPP. Este mtodo requer
apenas um sensor de tenso e algoritmos simples, como exemplo o uso de uma malha de
controle em tenso com referncia fixa; contudo o valor de potncia extrada nunca o MPP
verdadeiro e diferentes dados devem ser adotados para cada regio geogrfica, tornando este
mtodo pouco utilizado. Apesar da pouca aplicabilidade, este mtodo funciona muito bem
com nveis de insolao bastante reduzidos, e desta forma, pode ser combinado com outros
mtodos de MPPT para melhorar a eficincia global do sistema (YU et al., 2002; JAIN;
AGARWAL, 2007 a; FARANDA et al., 2008).
O mtodo da Tenso de Circuito Aberto baseado em observaes de que a tenso no
MPP sempre muito prxima a uma porcentagem fixa de VOC, e alm disso, a relao entre
VMPP e VOC deve ser obtida de forma emprica para cada tipo de painel a ser usado e sob
diferentes condies de irradiao e temperatura. De posse desta constante de
proporcionalidade, necessrio medir periodicamente VOC, uma vez que se altera com
mudanas climticas, a fim de obter VMPP. Isto realizado inserindo um interruptor esttico
em srie com o painel fotovoltaico, desligando o conversor momentaneamente, o que leva a
perdas temporrias de energia e maior complexidade do circuito. Uma alternativa usar
clulas piloto de menor potncia com as mesmas caractersticas dos painis, a fim de se obter
VOC (ENSLIN et al., 1997; ESRAM; CHAPMAN, 2007; FARANDA et al., 2008).
Com relao ao mtodo de Curto-Circuito por Pulsos, o MPP obtido atravs de um
conversor esttico controlado em corrente, com uma corrente de referncia proporcional
corrente de curto-circuito (ISC) do PV. Esta constante de proporcionalidade, como nos
mtodos descritos anteriormente, obtida empiricamente e sob vrias condies de
temperatura e irradiao, tambm para cada tipo de painel. Este mtodo requer a determinao
recorrente de ISC, a qual obtida atravs da insero de um interruptor esttico em paralelo
com o PV. Nota-se que no instante do curto-circuito, a tenso nos terminais do painel
fotovoltaico nula, e, portanto, no se extrai energia do painel. Neste mtodo tambm se
aumenta o nmero de componentes e seu custo, alm da perda de energia que no desejvel
(NOGUCHI et al., 2002; ESRAM; CHAPMAN, 2007; FARANDA et al., 2008).
J o mtodo da Perturbao e Observao (P&O) opera periodicamente incrementando
ou decrementando a tenso de sada terminal do PV e comparando a potncia obtida no ciclo
atual com a potncia do ciclo anterior. Caso a tenso varie e a potncia aumente, o sistema de
controle muda o ponto de operao naquela direo; caso contrrio, muda o ponto de
operao na direo oposta. Este mtodo considerado padro para se obter alta eficincia.
30
Este mtodo necessita de sensores de tenso e de corrente para o clculo da potncia do PV.
Alm disso, a tenso terminal do PV sempre alterada e quando o painel opera no MPP
ocorrem oscilaes na potncia de sada em torno do seu valor mximo, o que resulta em
perdas de potncia (HUSSEIN et al, 1995; ESRAM; CHAPMAN, 2007; PANDEY et al.,
2007; FARANDA et al., 2008; JAEN et al., 2008). Os mtodos de P&O podem ser divididos
em P&O clssico, otimizado e de trs pontos. O P&O clssico opera com perturbaes fixas;
em relao ao mtodo otimizado, uma mdia de diversas amostras de potncia usada para
ajustar dinamicamente a magnitude da perturbao; j no P&O de trs pontos, so usados trs
pontos distintos da curva potncia versus tenso, para determinar a direo e magnitude da
prxima perturbao. Em Laird et al, 2008 apresentada uma modificao do P&O clssico,
onde a magnitude da perturbao aumentada quando o sistema se encontra longe do MPP e
quando o algoritmo encontra o MPP, a amplitude da perturbao reduzida. Este algoritmo
usa passo varivel e consegue resposta rpida, alm de minimizar a oscilao de potncia no
MPP.
O mtodo da Condutncia Incremental (Incremental Conductance - IC) baseado no
fato de que a inclinao da curva de potncia do painel solar nula no MPP, positiva
esquerda e negativa direita (vide Figura 1(b)). Este mtodo busca o MPP da mesma forma
que o mtodo P&O (LISERRE et al., 2010), tambm usa dois sensores, um de corrente e
outro de tenso, mas no necessrio calcular a potncia do painel e uma vez alcanado o
MPP, as perturbaes so encerradas at que se observem alteraes na corrente do painel.
Desta forma, no ocorrem oscilaes na potncia do PV e o passo de incremento determina a
velocidade do mtodo (WASYNEZUK, 1983; ESRAM; CHAPMAN, 2007; PANDEY et al.,
2007; FARANDA et al., 2008; JAEN et al., 2008; LAIRD et al., 2008). Tambm pode ser
usado passo varivel para melhorar a eficcia deste mtodo. Contudo, com este mtodo bem
improvvel de se obter exatamente o MPP, e uma pequena margem de erro considerada
satisfatria nos algoritmos prticos (LAIRD et al, 2008). Este mtodo tambm muito
utilizado pela sua eficcia e apresenta bom desempenho diante de variaes rpidas das
condies meteorolgicas (PANDEY et al, 2007; FARANDA et al., 2008). Em Yu et al.
(2002) e Faranda et al.( 2008) comenta-se que uma melhoria neste mtodo pode ser obtida
com a combinao com o mtodo da tenso constante. Se a irradiao solar est 30% abaixo
do nvel de irradiao nominal usa-se o mtodo da tenso constante, caso contrrio, usa-se o
mtodo IC. Consegue-se assim uma melhoria na eficcia do rastreamento da mxima potncia
do PV; entretanto, necessrio adicionar sensor de radiao, o que torna o mtodo
dispendioso.
31
O mtodo Hill Climbing (HC) se baseia na relao entre a razo cclica do conversor
esttico e a potncia do PV, onde o grfico potncia versus razo cclica apresenta a forma de
uma colina. O ponto de mxima potncia pode ser obtido forando que a derivada da potncia
em relao razo cclica seja nula. Isto obtido comparando periodicamente o nvel de
potncia atual com a potncia anterior, aumentando ou diminuindo a razo cclica do
conversor. Desta forma, a razo cclica alterada at que se atinja o MPP. Este mtodo bem
simples, entretanto, pode se tornar confuso caso haja mudanas bruscas nas condies
meteorolgicas, levando o conversor a buscar o MPP na direo contrria. Ademais, difcil
encontrar bom desempenho tanto em regime permanente quanto em transitrios, e a obteno
de um valor de incremento para a razo cclica nem sempre bvio (TEULINGS et al., 1993;
ESRAM; CHAPMAN, 2007; JAEN et al., 2008). Em Pandey et al. (2007), apresenta-se um
mtodo tambm baseado na variao da razo cclica do conversor, verificando que no ponto
de inflexo da curva tenso versus razo cclica o PV opera em potncia mxima. Utiliza-se
uma funo simples onde a potncia proporcional a variao de tenso tornando o algoritmo
de MPP tambm simples. Apesar de obter boa eficincia, o mtodo sensvel a rudos para a
avaliao da funo objetivo.
J o mtodo Beta consiste na aproximao do ponto de mxima potncia por meio do
equacionamento de uma varivel intermediria , sendo esta varivel destacada pela equao
(1).
= ln( I PV / VPV ) c.VPV
(1)
Onde c = (q/(.k.T.Ns)) uma constante que depende da carga do eltron (q), fator de
qualidade da juno do painel (), da constante de Boltzmann (k), da temperatura em Kelvin
(T) e da quantidade de clulas fotovoltaicas em srie (Ns). Conforme as condies de
operao do painel mudam o valor da varivel no ponto timo permanece quase constante.
Desta forma, pode ser continuamente calculada utilizando a tenso e a corrente do painel e
realimentado em uma malha fechada convencional com referncia constante (JAIN;
AGARWAL, 2004, 2007 a).
Em relao ao mtodo da Oscilao do Sistema, este se baseia nos princpios da
mxima transferncia de potncia e utiliza as oscilaes para determinar o ponto timo de
operao. No ponto timo a razo entre a amplitude da oscilao e o valor mdio da tenso
constante. A sua implementao caracterizada basicamente pela utilizao de filtros, tendo
como grande vantagem a necessidade exclusiva da medio da tenso do painel e a sua
implementao pode ser feita apenas com o emprego de circuito analgicos. O mtodo da
32
33
ns recebem pesos e para a correta definio do MPP, estes pesos devem ser cuidadosamente
determinados atravs de um processo de treinamento, onde o PV testado durante certo
tempo e os padres entre entrada e sada so armazenados. Diferentes painis fotovoltaicos
apresentam caractersticas diferentes; desta forma, a rede treinada para um painel em
especfico e ainda, treinada periodicamente devido s mudanas meteorolgicas a fim de
apresentar boa eficincia. Alm disso, o envelhecimento dos painis tambm demandar o
retreinamento
da
rede
(HIYAMA
et
al.,
1995;
XIAOFENG
et
al.,
2002;
ESRAM;CHAPMAN, 2007).
Dentre os diversos mtodos para localizao do ponto de mxima potncia, levando-se
em considerao custo (quantidade de sensores, componentes, clculo computacional) e
eficincia gerada (proximidade do MPP), os mtodos P&O e IC modificados, Beta,
Temperatura e Correlao de Ripple se destacam (JAIN; AGARWAL, 2007 a; FARANDA et
al., 2008).
Uma das grandes vantagens que o uso da energia gerada pelos painis fotovoltaicos
apresenta o atendimento a comunidades isoladas. No Brasil 15% da populao no possui
acesso energia eltrica. Coincidentemente, esta parcela da populao vive em regies onde o
atendimento por meio da expanso do sistema eltrico convencional economicamente
invivel. Trata-se de ncleos populacionais dispersos e pouco densos, tpicos das regies
Centro-Oeste, Nordeste e Norte (PINHEIRO, 2007). Como o sistema dos painis modular,
aumentando-se a carga aumenta-se tambm a quantidade de painis a fim de suprir a
demanda. Alm disto, um sistema tpico PV apresenta baixos custos de manuteno e vida til
longa (BALAGUER et al., 2008).
A conexo de forma descentralizada de sistemas de painis solares com a rede eltrica
de distribuio de energia eltrica permite o alvio de alimentadores de distribuio, reduz as
perdas de distribuio e pode diminuir o pico de demanda de certas instalaes nos momentos
de pico de consumo de energia, onde o custo da energia elevado. Ainda, o proprietrio do
sistema pode vender a energia excedente a preos incentivados e atravs de contratos de longo
prazo (MARTINS et al., 2009).
O fato do sistema fotovoltaico ser conectado diretamente rede eltrica dispensa a
necessidade do uso de armazenadores de energia. Sem esse componente, o custo do sistema
diminui significativamente e permite uma melhoria no desempenho do mesmo, uma vez que
aumenta-se a energia disponibilizada na sada do sistema (BALAGUER et al., 2008).
Inicialmente, o elevado custo da energia advinda dos painis fotovoltaicos pode ser
facilmente absorvido pelos grandes centros urbanos e ainda, a maioria das grandes cidades
34
brasileiras apresenta picos de demanda de energia durante o horrio diurno e no vero, onde a
incidncia de radiao solar intensa (MARTINS et al., 2009). O alto preo da energia
fotovoltaica deve diminuir de modo que os sistemas fotovoltaicos podero se tornar
concorrentes da energia hidroeltrica no Brasil. O custo da energia advinda dos painis
fotovoltaicos se igualaria ao custo da energia provida da rede de energia a partir de 2020 em
algumas regies do pas, caso existam programas de incentivo aplicao desta fonte, com foi
realizado na Alemanha (SALAMONI; RTHER, 2007).
Uma grande vantagem ambiental dos sistemas fotovoltaicos a reduo das emisses
de gs carbnico (CO2) que os mesmos permitem. De acordo com Wolfsegger; Stierstorfer,
2007, em 2030, a taxa de reduo anual de emisses de CO2 devido a utilizao de PVs deve
estar em torno de 1 bilho de toneladas/ano, o equivalente s emisses totais da ndia no ano
de 2004, ou s emisses de 300 usinas termeltricas a carvo. Alm disso, em 2040 previsto
que 28% da energia consumida no mundo venha de painis solares.
Devido necessidade de operao com a rede de distribuio, um sistema inversor
necessrio para converter a energia proveniente dos painis, que contnua, em alternada,
para ser injetada de forma adequada e com ndices de qualidade na rede de distribuio.
Para se realizar a conexo do sistema rede necessrio tomar certas precaues tais
como a previso de ilhamento e sincronizao. O fenmeno de ilhamento para um sistema de
gerao distribuda definido quando o mesmo continua a alimentar as cargas locais na
ausncia da rede de alimentao (BOWER; ROPP, 2002; IEEE SCC21, 2003; CHOE et al.,
2006; BALAGUER et al., 2008; CIOBOTARU et al; 2008). Ou seja, o sistema alm de
alimentar as cargas especificadas para o mesmo, supre energia para as demais cargas
conectadas rede, resultando em problemas operacionais devido incapacidade de gerao
local, dentre outros at mais graves. Embora a probabilidade de ocorrncia deste efeito seja
extremamente baixa, normas que versam sobre a interconexo de sistemas fotovoltaicos
rede requerem mtodos eficazes para detectar o ilhamento, tais como IEEE 929-2000, IEEE
1547 e UL1741 (CIOBOTARU et al., 2008). A norma IEEE 1547 (IEEE SCC21, 2003)
especifica as caractersticas de operao, segurana, testes e manuteno em sistemas de
gerao distribuda, com capacidade de at 10MVA no PCC (ponto comum de acoplamento
de cargas), interligados ao sistema de potncia no nvel de tenso primria ou secundria,
incluindo os seguintes captulos:
35
Requisitos Gerais
Qualidade de Energia
Ilhamento
Resposta s Condies Anormais de Operao
Especificaes de Testes e Requisitos de Projeto
Avaliao da Instalao
Testes Rotineiros Peridicos
Durante o ilhamento problemas de segurana, qualidade de energia e confiabilidade
podem ocorrer (BOWER; ROPP, 2002; BALAGUER et al., 2008). A rede de energia no
consegue mais controlar a tenso e a frequncia durante o ilhamento, criando a possibilidade
de danificar equipamentos dos consumidores em uma situao onde a rede no tem mais o
controle. Este efeito pode criar uma situao de muito perigo para operrios de linhas de
distribuio ou at mesmo para pessoas comuns, uma vez que uma parte da rede de
distribuio continua energizada mesmo desconectada da rede principal. Ainda, a reconexo
da rede durante um ilhamento pode danificar equipamentos e at o sistema de gerao
distribuda, por causa de uma conexo fora de fase. Alm disso, o ilhamento pode interferir
com a restaurao do servio pela rede (BOWER; ROPP, 2002).
Em virtude da necessidade clara da deteco de ilhamento pelo sistema de gerao
distribudo baseado em painis fotovoltaicos, diversos mtodos de deteco de ilhamento tm
sido propostos na literatura (BOWER; ROPP, 2002; DE MANGO et al., 2006; ROPP et al.,
2006; BALAGUER et al., 2008). Eles so divididos, basicamente, em mtodos passivos e
ativos residentes no inversor, ativo residente na rede e baseados em comunicao entre a rede
e o inversor (BOWER; ROPP, 2002).
Os mtodos ditos passivos se baseiam na deteco de uma anormalidade na amplitude,
frequncia ou fase da tenso no ponto de acoplamento comum entre o inversor e a rede
quando em ilhamento. Desta forma, cessam a converso de energia quando ocorrem
mudanas a partir de condies normais especificadas (BOWER; ROPP, 2002; BALAGUER
et al., 2008). Os mtodos passivos mais utilizados so os mtodos de deteco de
anormalidades na tenso e na frequncia da rede, deslocamento de fase e de deteco de
harmnicos de tenso. Um esboo de um sistema PV conectado rede apresentado na
Figura 2.
36
Carga
PCarga+jQCarga
37
Figura 3 - Exemplo de zona de no deteco (NDZ) para os mtodos de sub e sobretenso e sub e
sobrefrequncia.
38
39
O mtodo ativo Active Frequency Drift (AFD) introduz distores na forma de onda
de corrente injetada na rede a qual tende a acelerar ou desacelerar a frequncia da tenso na
ausncia de rede. H uma limitao no tamanho do deslocamento em frequncia imposto para
manter a DHT em nvel menor do que o especificado. Na aproximao mais comum, injeta-se
uma corrente com frequncia um pouco maior do que a frequncia de rede, onde os
cruzamentos em zero da corrente so estendidos para que as frequncias coincidam, vide
Figura 4. Caso haja falta de rede, a frequncia da tenso tende a seguir a frequncia da
corrente e o deslocamento de frequncia detectado. Este mtodo apresenta uma degradao
da qualidade da potncia de sada do inversor e aumento das emisses eletromagnticas
devido distoro na forma de onda de corrente. Podem ser usados mltiplos inversores
desde que sincronizados, mas este mtodo no se mostra muito eficaz na deteco de
ilhamento (BOWER; ROPP, 2002; DE MANGO et al., 2006; LOPES; HUILI, 2006;
BALAGUER et al., 2008).
Figura 4 - Extenso do zero de corrente usado no mtodo AFD.
Outro mtodo ativo, Sandia Frequency Shift (SFS), uma extenso do AFD e se
utiliza realimentao positiva na sua implementao, onde o tempo de corrente nula no
mais fixo e sim uma funo do erro na frequncia de rede. O tempo de corrente nula aumenta
ou diminui proporcionalmente ao erro na frequncia determinada na rede. Com essa melhoria,
reduz-se o NDZ, mas ainda o problema de qualidade de energia evidente devido distoro
na forma de onda de corrente. A vantagem deste mtodo, como tambm do AFD verificar a
frequncia no PCC, uma vez que na presena da rede, este parmetro difcil de ser alterado
(BOWER; ROPP, 2002; JOHN et al., 2004; DE MANGO et al., 2006; BALAGUER et al.,
2008).
O mtodo ativo denominado Sandia Voltage Shift (SVS) tambm uma extenso do
mtodo AFD onde se procura verificar alteraes na amplitude da tenso no PCC. Este
mtodo tambm apresenta reduo da qualidade de energia e apresenta reduo na potncia
40
do inversor durante alguns instantes, saindo do ponto de mxima potncia, j que tenta reduzir
a tenso no PCC ao injetar menos potncia. A sua eficincia aumentada quando
implementado em conjunto com o mtodo SFS (BOWER; ROPP, 2002; DE MANGO et al.,
2006; XIAOYU et al., 2007; BALAGUER et al., 2008).
Outro mtodo ativo, Frequency Jump, insere zonas mortas na corrente de sada, no
em todo ciclo, onde a frequncia da corrente alterada de acordo com um padro prestabelecido. Na ausncia de rede a tenso apresentar este padro. Quanto mais sofisticado
este padro for mais efetivo ser o sistema para a deteco do ilhamento, isto para o caso de
um nico sistema PV, para o caso de mltiplos sistemas necessrio sincronismo (BOWER;
ROPP, 2002; DE MANGO et al., 2006; BALAGUER et al., 2008).
Ainda em relao aos mtodos ativos, agora em nvel de rede, este considera a
insero de uma impedncia de baixo valor, usualmente um capacitor, que pode ser o mesmo
utilizado para a correo do fator de deslocamento, instantes aps a rede ter sido
desconectada. A adio desta impedncia torna a deteco do ilhamento bem mais fcil,
minimizando muito a NDZ. Contudo, h um elevado custo na implantao desta tcnica, j
que cada ramo passvel de ilhamento deve conter seu capacitor e ainda conexes para
comunicao (KITAMURA et al. 1994; BOWER; ROPP, 2002; DE MANGO et al., 2006).
A fim de eliminar totalmente a NDZ dos mtodos ativos, so propostos os mtodos
baseados em comunicao entre a rede e o sistema inversor, entretanto, estes mtodos so os
mais dispendiosos economicamente (BOWER; ROPP, 2002).
Um mtodo que usa comunicao o mtodo baseado no envio e recebimento de
sinais de comunicao de baixa energia atravs da rede eltrica; como a linha usada como
canal de comunicao fica fcil testar a sua continuidade. Para compor este mtodo, um
transmissor e receptores devem ser conectados rede, onde o transmissor deve ser conectado
no final da linha de rede e os receptores no final da rede do usurio. Este mtodo no
apresenta NDZ, no altera a qualidade de energia no fornecimento, suporta a adio de vrios
sistemas PV, porm seu custo elevado (BOWER; ROPP, 2002; ROPP et al., 2006;
BALAGUER et al., 2008).
Um outro mtodo baseado em comunicao obtido pelo sinal produzido por
desconexo da rede, onde a rede de distribuio no utilizada. A chave seccionadora da
linha de distribuio dotada de um pequeno transmissor que envia para o sistema de gerao
distribudo um sinal de desconexo. Podem ser usadas redes de telefonia, sinal de
microondas; entretanto, este sinal deve ser contnuo a fim de no influenciar em falsos
desligamentos. Este mtodo auxilia na coordenao de dispositivos na rede e tambm na
41
energizao da linha. O custo deste mtodo elevado uma vez que pode ser necessrio a
insero de diversos repetidores de sinal e transmissores devem ser inseridos em todas as
chaves (srie ou paralela) que possam levar a possveis ilhamentos (BOWER; ROPP, 2002;
BALAGUER et al., 2008).
Dentre os mtodos apresentados, os mtodos ativos residentes no inversor, podem ser
considerados uma boa opo na deteco do efeito de ilhamento, uma vez que os mtodos
baseados em comunicao so excessivamente dispendiosos, enquanto que os mtodos
passivos apresentam grandes zonas de no deteco. necessrio salientar que nenhum
mtodo trabalhar de forma plena para quaisquer sistemas e sob quaisquer situaes e a
escolha de um mtodo depender das caractersticas do sistema. Dessa forma, tambm
vlida a combinao de tcnicas ativas com tcnicas passivas, ditas hibridas, onde a tcnica
passiva detecta ilhamento quando ocorrem grandes mudanas nos parmetros do sistema, e, a
ativa inicializada apenas quando as mudanas nos parmetros do sistema so pequenos para
serem detectados com as tcnicas passivas. Contudo, a associao destas tcnicas aumentam o
tempo para a deteco do ilhamento (MAHAT et al., 2008).
Obviamente, considerando-se a reduzida capacidade de gerao dos sistemas
distribudos, quando comparados com os sistemas de gerao e distribuio em corrente
alternada (CA) convencionais, deve-se observar determinadas condies para seu
acoplamento rede em CA, considerando-se a reduo de transitrios e problemas
operacionais resultantes. As seguintes condies devem ser observadas para o sincronismo instante da conexo - com sistemas monofsicos e trifsicos em CA:
As magnitudes das tenses (rede e GDEE) devem ser iguais;
As frequncias das tenses (rede e GDEE) devem ser as mesmas;
A defasagem entre as tenses (rede e GDEE) deve ser nula.
Para realizar o sincronismo com a rede de CA podem ser usadas tcnicas baseadas em
algoritmos PLL (Phase-Locked Loop), DFT (Discrete Fourier Transform) e mtodos
baseados nos Filtros de Kalman (HSIEH; HUNG, 1996; MCGRATH et al., 2005; CARDOSO
et al., 2006; CHOI et al., 2006; PDUA et al., 2007 a, 2007 b; SANTOS FILHO et al., 2008).
Os algoritmos PLL podem ser considerados como dispositivos que fazem com que um
sinal acompanhe outro; mantendo um sinal de sada em sincronizao com um sinal de
entrada de referncia em frequncia bem como em fase. Isto realizado de tal forma que o
erro de fase entre a referncia e o sinal de sada se reduza a um erro mnimo. Apesar das
42
diferenas encontradas nos algoritmos PLL, estes so derivados de uma estrutura padro, a
qual pode ser dividida em trs sees principais, que so o detector de fase, o filtro passabaixa e o oscilador controlado em tenso (Figura 5); sendo este ltimo responsvel pelo sinal
que ser comparado com o sinal de entrada no detector de fase. As principais diferenas
encontradas esto concentradas no detector de fase, que geralmente no linear. Dependendo
do sistema e do tipo de controle usados, para a utilizao do PLL necessrio o emprego de
outro algoritmo para o clculo da amplitude da componente fundamental, devendo este, ser
robusto o suficiente para lidar com tenses distorcidas. Os ganhos do controlador PI, inerente
sua estrutura, so diretamente relacionadas resposta dinmica e eficcia do sistema; desta
forma, necessria certa precauo para se obter boa filtragem e resposta rpida (HSIEH;
HUNG, 1996; CARDOSO et al., 2006; SANTOS FILHO et al., 2008).
Figura 5 - Diagrama bsico do PLL.
A DFT uma tcnica de filtragem digital que normalmente utilizada para calcular o
espectro de um sinal. Entretanto, uma ao de filtragem pode ser utilizada extraindo do sinal
apenas a componente na frequncia desejada, usando uma formulao recursiva para o
algoritmo da DFT. Para a utilizao desta tcnica necessrio utilizar uma taxa de
amostragem proporcional frequncia fundamental da rede, ou alterar a janela para o clculo
correto da DFT. Isto pode ser obtido corrigindo a janela de amostragem para contemplar o
perodo de rede ou adicionar um ganho de fase para cancelar o erro de fase produzido pela
DFT recursiva, compensando o erro de fase em todo o ciclo de rede. Os algoritmos de DFT
apresentam reduzidos tempos para convergncia e uma excelente caracterstica de filtragem;
entretanto, as dinmicas da estimao de frequncia no so suaves, uma vez que so
atualizadas todo o ciclo (MACGRATH et al., 2007 a; PDUA et al., 2007 a, 2007 b).
Em relao ao filtro de Kalman, este baseado no mtodo dos mnimos quadrados
onde a modelagem do sistema realizada via variveis de estado. Este filtro estima o estado
do sistema interpretando-o como um processo estocstico, com conseqente tratamento
estatstico. Uma vantagem deste mtodo a sua habilidade em lidar com sistemas lineares
corrompidos por incertezas e prover excelentes estimativas para o regime permanente, alm
43
de poder lidar com sinais contendo harmnicos e rudos de medies; alm disto, nesta
tcnica no necessrio adicionar detectores de amplitude ou realizar normalizaes nas
medies da tenso. Entretanto, a dinmica de clculo da frequncia no suave e seu
desempenho pode ser deteriorado se os distrbios nos sinais de entrada aumentarem
significativamente (CARDOSO et al., 2006; PDUA et al., 2007 b).
Em relao aos mtodos descritos, os algoritmos recursivos para clculo da DFT so
timas opes quando h preocupaes com relao ao tempo de convergncia e capacidade
de filtragem do mtodo; j os algoritmos PLL so recomendados quando a estimao de
frequncia necessria em cada passo discreto ou quando se necessita de uma maior
simplicidade na implementao; a importncia dos algoritmos baseados nos filtros de Kalman
so justificados j que no necessitam de nenhuma tcnica complexa adicional para identificar
amplitude, frequncia ou fase da tenso de rede. Estes algoritmos se apresentam como
interessantes alternativas para a realizao de sincronismo com a rede de CA (CARDOSO et
al., 2006).
H situaes em que o dispositivo fornecedor de energia eltrica no atende as
especificidades dos aparelhos eltrico-eletrnicos em corrente alternada, como o caso do
painel fotovoltaico e da clula a combustvel (FC - Fuel Cell), que fornecem uma tenso
contnua em seus terminais de sada. Alm disso, tipicamente, a tenso eficaz para diversas
aplicaes residenciais/comerciais apresenta uma amplitude muito maior do que a tenso
mdia de sada das fontes alternativas tipo PV e FC.
Para superar este problema, uma tcnica muito utilizada a de associar um conversor
CC-CC elevador com um inversor fonte de tenso (VSI) (WALKER; SERNIA, 2004). A
grande utilizao do VSI devido simplicidade de projeto e implementao, uma vez que
este conversor inerentemente estvel, apresentando comportamento similar ao conversor
Buck (VILLALVA; FILHO, 2008).
Por outro lado, a associao em cascata do conversor elevador com o inversor
apresenta um rendimento menor do que aqueles das estruturas individuais, devido
multiplicao dos rendimentos de cada estgio (KJAER et al., 2005), alm de elevar peso,
volume e custo. Uma alternativa seria o uso de uma estrutura integrada (elevador+inversor)
que alm de oferecer um rendimento maior, emprega menor quantidade de componentes,
reduzindo custos e aumentando a densidade de potncia da estrutura, aumentando a eficincia
do sistema de gerao distribudo - GDEE (JAIN; AGARWAL, 2007(b)).
44
Desta forma, a presente pesquisa ter como base o estudo das topologias de conversores
integrados, sendo eles monofsicos e trifsicos, com conexo rede de distribuio de energia
eltrica em baixa tenso, considerando tcnicas de anti-ilhamento, sincronizao e de MPPT.
O presente trabalho apresenta no captulo 2 a avaliao dos principais mtodos de MPPT
evidenciados na literatura, considerando modelos em ambiente MatLab/Simulink e a
implementao em laboratrio dos melhores mtodos, os quais foram resumidos na
introduo geral. No captulo 3 so apresentadas as simulaes dos principais algoritmos de
anti-ilhamento considerando o caso mais crtico definido pelas normas IEEE e UL, que
considera a alimentao de uma carga ressonante RLC no ponto de acoplamento de carga
(PCC). No captulo 4 apresentado um estudo das principais topologias monofsicas e uma
descrio das topologias trifsicas para aplicao com os painis fotovoltaicos, assim como os
requisitos necessrios que o conversor fotovoltaico deve apresentar. Neste captulo
apresentada a integrao de estgios e alguns dos inversores integrados obtidos. Alm disso,
so apresentados os principais resultados da implementao em laboratrio de alguns
inversores integrados para demonstrar a viabilidade da presente pesquisa. No captulo 5
apresenta-se o projeto completo dos inversores integrados Trifsicos Boost e Buck-Boost TriState, com foco na anlise experimental do inversor integrado Trifsico Buck-Boost Tri-State
alimentando tanto cargas locais quanto injetando potncia ativa na rede de distribuio de
energia eltrica em CA. J no captulo 6 so apresentadas as principais concluses e sugestes
para a continuidade da pesquisa.
45
Captulo 2
Avaliao dos Principais Mtodos de MPPT
LB
DB
SB
CB
RL
46
q (V + I Rs ) k T V + I Rs
I = I ph I r e
1
Rp
(2)
Onde:
V, I
Iph
Fotocorrente;
Ir
Rs, Rp
Temperatura ambiente, K.
q . EG 1
(3)
T .
I r = I rr e k Tr T
Tr
(4)
A obteno do parmetro Irr feita sob condies de teste padro, conhecido como
Standard Test Conditions (STC), onde Psun=1kW/m2 e Tr = 298K, e, ainda sabe-se que quando
47
a corrente do painel nula I=0, a tenso de sada a tenso de circuito aberto (Voc). Desta
forma, a corrente Irr dada por (5).
I sc
I rr =
e
Voc
Rp
q.Voc
k .Tr
(5)
A equao (2) pode ser modificada de forma a apresentar uma raiz nula quando a
corrente I se tornar a corrente real do circuito, sendo agora, a equao (6) uma funo da
prpria corrente.
q (V + I Rs ) k T V + I Rs
f ( I ) = I ph I I r e
1
Rp
(6)
xn +1 = xn
f ( xn )
f '( xn )
(7)
q (V + I Rs ) k T q Rs
Rs
f '( I ) = 1 I r e
. k T R
(8)
48
Vpv
1000
Psun PV_Array
Ipv
1
s
-KPot Sol
25
Temp
Add
Embedded
MATLAB Function
Gain
Integrator
Vpv
Vpv
1
I_referncia do painel
V (t ) =
LB dI LB (t )
dI (t ) V (t )
LB = in
dt
dt
LB
IC (t ) = CB
dVCB (t )
dV (t )
V (t )
C B = CB
dt
dt
RL .CB
(9)
(10)
V (t ) =
LB dI LB (t )
dI (t ) V (t ) VCB (t )
LB = in
dt
dt
LB
IC (t ) = CB
dVCB (t )
dV (t ) I (t ) V (t )
C B = LB CB
dt
dt
CB
RL .CB
(11)
(12)
49
Somando-se a equao (9) com a equao (11), a equao (10) com a equao (12) e
inserindo a funo fq(t), obtm-se as equaes de nmeros (13) e (14).
V (t ) VCB (t )
dI LB (t ) Vin (t )
=
fq (t ) + in
(1 fq (t ) )
dt
LB
LB
(13)
I (t ) VCB (t )
dVCB (t ) VCB (t )
=
fq (t ) L
(1 fq (t ) )
dt
R L .C B
R L .C B
CB
(14)
dI LB (t ) 1
=
[Vin (t ) (VCB (t ))(1 fq(t ))]
dt
LB
(15)
dVCB (t )
VCB (t )
1
=
. 1 fq (t ) ) +
(I L (t ) )(
dt
CB
RL
(16)
Vout (t ) = VCB (t )
(17)
u
Math
Function
1
s
Constant1
Product2
Integrator
1
IL
Product1
fq
3
Vin
Product3
1
4
RL
u
Math
Function1
1
s
Product4
Product5
1
5
Cf
u
Math
Function2
Integrator1
2
Vout
50
A resistncia de carga para a simulao deste mtodo foi ajustada para prover 200
watts de potncia na razo cclica de 0,73; sendo a potncia de 200watts a potncia mxima
do painel.
Foi escolhido o valor de 78% da tenso de circuito aberto para simular o mtodo da
tenso constante. O algoritmo deste mtodo uma malha fechada em tenso convencional,
onde o erro entre a tenso de referncia e a tenso mensurada no painel serve de entrada para
um compensador, que assim dita o comportamento da razo cclica do conversor. Este modelo
est representado atravs da Figura 10.
51
Vpv
1
Ipv
z-1
z
dP /dt
Product
dP /dV
Sign
1
s
Product 2
Integrator 1
1
Dref
-1.5
z-1
Passo
z
dV/dt
Scope 2
52
z
dI /dt
Sign
2
Vpv
1
s
dI /dV
Product 2
Integrator 1
1
Dref
z-1
z
dV /dt
-2
Passo
I/V
53
54
Vmpp
1
Temp
1
Dref
Discrete
PID Controller
Vpv
Product
25
Tref
-0.14
Cte Vmpp
Tenso no MPP
VMPP = 26,3V
Corrente no MPP
IMPP = 7,61A
Voc= 32,9V
Corrente de Curto-Circuito
Isc = 8,21A
= 3,18x10-3A/oC
Fonte: Prprio autor.
55
Figura 19 - Caracterstica de potncia do PV. (a) Para diferentes nveis de irradiao considerando temperatura
fixa de 25C e (b) Sob diferentes nveis de temperatura considerando a irradiao de 1000W/m2.
180
25 C
40 C
150
55 C
120
90
60
30
0
0
(a)
10
15
20
Tenso (V)
25
30
35
(b)
Fonte: Prprio Autor.
Capacitncia
CB = 36F
Frequncia do conversor
fs = 10kHz
Resistncia de Carga
RL= 50
Fonte: Prprio autor.
56
210
180
180
150
150
120
120
90
90
60
30
30
0
210
0
210
180
180
150
150
120
120
90
90
60
30
0
210
0
210
180
180
150
150
120
120
90
90
P&O
60
Correlao
30
30
IC Baseado
em PI
60
Temperatura
30
60
IC
Modificado
60
Beta
3
Tempo(s)
3
Tempo(s)
57
0,96
0,94
0,92
Correlao
Oscilao
IC
Beta
Mod P&O
0,84
Temperatura
P&O
0,86
Mod IC
V Cte
0,88
IC Baseado em PI
0,90
D Cte
0,98
10
11
Mtodos
Fonte: Prprio autor.
58
200,5
Mtodo P&O
IC Modificado
199,5
199,5
198,5
198,5
197,5
3,300
3,325
3,350
Tempo (s)
3,375
3,400
200,5
197,5
3,300
3,325
3,350
Tempo (s)
3,375
3,400
200,5
Mtodo Beta
Mtodo Correlao
199,5
199,5
198,5
198,5
197,5
3,300
3,325
3,350
Tempo (s)
3,375
3,400
200,5
197,5
3,300
199,5
198,5
198,5
3,350
Tempo (s)
3,375
3,375
3,400
Mtodo Temperatura
199,5
3,325
3,350
Tempo (s)
200,5
Mtodo IC Baseado em PI
197,5
3,300
3,325
3,400
197,5
3,300
3,325
3,350
Tempo (s)
3,375
3,400
Os mtodos de MPPT tambm devem ser comparados com relao sua resposta
dinmica, ou seja, como se comportam quando a potncia no painel mnima e rapidamente
alterada para a condio nominal. Apenas para teste, o degrau resultante de potncia varia
instantaneamente de 10W para 200W, e, pode ser avaliado atravs da Figura 23.
59
210
180
180
Mtodo
P&O
150
150
120
120
90
90
60
60
30
30
0
1,9
2,0
2,1
2,2
2,3
Tempo (s)
2,4
2,5
2,6
0
1,9
Mtodo
Beta
2,0
2,1
(a)
210
180
180
150
150
2,5
2,6
120
120
IC
Modificado
90
60
Mtodo
Correlao
90
60
30
30
2,0
2,1
2,2
2,3
Tempo (s)
2,4
2,5
2,6
0
1,9
2,0
2,1
(c)
2,2
2,3
Tempo (s)
210
180
180
150
150
120
120
90
90
Mtodo
Temperatura
60
30
2,0
2,1
2,4
2,5
2,6
(d)
210
0
1,9
2,4
(b)
210
0
1,9
2,2
2,3
Tempo (s)
2,2
2,3
Tempo (s)
2,4
2,5
IC Baseado
em PI
60
30
2,6
0
1,9
(e)
2,0
2,1
2,2
2,3
Tempo (s)
2,4
2,5
2,6
(f)
60
61
Figura 25 - Comportamento dinmico dos algoritmos de MPPT. (a) Degrau negativo (200W-100W); (b) Degrau
positivo (100W-200W); (c) Inicializao (0W-200W). Escalas: Tenso (20V/div); Corrente (5A/div); Potncia
(100W/div) e Tempo: (a) e (b) (20ms/div) e (c) (200ms/div).
Tenso
Tenso
Tenso
Corrente
Corrente
Corrente
Tenso
Corrente
Potncia
Potncia
Potncia
Potncia
(a)
(a)
(b)
(b)
Tenso
Tenso
Corrente
Corrente
Corrente
Tenso
Potncia
Potncia
Potncia
Beta
Vcte
(c)
(c)
Tenso
Tenso
Tenso
Tenso
Corrente
Corrente
Corrente
Corrente
Potncia
Potncia
Potncia
Potncia
(a)
(b)
(a)
(b)
Tenso
Tenso
Corrente
Corrente
Potncia
Potncia
P&O
IC
(c)
(c)
62
Figura 26 - Inicializao do Mtodo da Correlao. Potncia (100W;div); Tenso (20V/div); Corrente (5A/div) e
Tempo (20ms/div).
Potncia(W)
Tenso(V)
Corrente(A)
63
A avaliao da potncia extrada do painel pode ser observada na Figura 29, para os
mtodos analisados, onde PMAX representa a mxima potncia disponvel e PMPPT
representa a energia que foi extrada pelo algoritmo de MPPT. Um perfil de insolao dirio
tpico foi aplicado como mostrado na Figura 30 e na Figura 31, e uma boa resposta a este
perfil representa um maior ganho com relao ao estudo da capacidade de extrao de energia
frente a condies reais. A idia foi simular as caractersticas dirias entre os horrios das 6 da
manh s 6 da tarde. Finalmente, a Tabela 3 resume as principais caractersticas de cada
mtodo de MPPT avaliado. Durante os testes experimentais, o algoritmo P&O tambm foi
implementado utilizando um controlador digital PI desenvolvendo o algoritmo P&O baseado
em PI. Como potencial do trabalho e de forma anloga ao IC baseado em PI, o algoritmo
P&O baseado em PI apresenta resultados mais interessantes com relao ao maior fator de
rastreamento e reduzidas oscilaes em regime permanente. Desta forma, este algoritmo
tambm foi submetido ao mesmo perfil de irradiao e os resultados podem ser verificados
pela Figura 30 e pela Figura 31. O maior fator de rastreamento pode ser explicado uma vez
que o controlador digital utiliza passos de incremento para a razo cclica maiores quando o
PV est longe do MPP, e quando atingido, estes passos so reduzidos minimizando as
perdas e oscilaes em regime permanente, sendo, portanto, um mtodo adaptativo.
64
Figura 29 - Energia extrada utilizando os mtodos Vcte, P&O e Beta e IC baseado em PI.
65
Beta
Beta
Potncia(W)
100W/div
TensoV)
Corrente(A)
10ms/div
Potncia(W)
20V/div
TensoV)
5V/div
Corrente(A)
100W/div
20V/div
10ms/div
P&O baseado
em PI
P&O baseado
em PI
100W/div
Potncia(W)
TensoV)
Corrente(A)
10ms/div
100W/div
Potncia(W)
20V/div
TensoV)
5V/div
Corrente(A)
20V/div
10ms/div
10ms/div
5V/div
Correlao
Correlao
100W/div
5V/div
100W/div
Potncia(W)
20V/div
TensoV)
5V/div
Corrente(A)
20V/div
10ms/div
5V/div
66
Dependente do
Fator de
Tipo de PV
Rastreamento
Dcte
No
Vcte
Mtodos
Implementao
Eficaz
Sensores
Ruim
Muito Simples
No
Sim
Razovel
Simples
No
P&O
No
Bom
Simples
Sim
VeI
IC
No
Bom
Mdio
Sim
VeI
P&O Modificado
No
Muito Bom
Complexo
Sim
VeI
No
Excelente
Mdio
Sim
VeI
IC Modificado
No
Muito Bom
Complexo
Sim
VeI
IC baseado em PI
No
Excelente
Mdio
Sim
VeI
Beta
Sim
Excelente
Mdio
Sim
VeI
Sim
Razovel
Complexo
No
No
Bom
Simples
Sim
VeI
Sim
Excelente
Simples
Sim
P&O baseado em
PI
Oscilao do
Sistema
Correlao de
Ripple
Temperatura
Ve
Temperatura
67
68
2.6 Concluses
69
Captulo 3
Avaliao dos Principais Algoritmos de Anti-Ilhamento
L=
C=
Vc arg a 2
P
Vc arg a 2
2. . f .Qf .P
Q f .P
2. . f .Vc arg a 2
(18)
(19)
(20)
70
Falha
Discrete ,
Ts = 1e-006 s.
Universal Bridge
powe rgui
2
1
Lconex
Sensor
Breaker
Vsource
Rlocal1
rede
1
1
ILcon
Iindutor
Out1
Multimeter4
Modulante
Memory
Scope2
Pulsos
1
1
PC C
Vrede
Out2
Multimeter2
Subsystem1
Memory1
Scope
Controle
Convert
Scope1
Data Type Conversion
-K-
Vrede
V (pu)
Gain1
wt
T erminator
sin
Trigonometric
Function
Sin_C os
1-phase
PLL
Terminator1
PI
Product
500
pot. Ativa
PI
-KGain
C ontrole de Potncia
PQ
1
Iindutor
1
Out1
C ontrole de C orrente
71
O mtodo da injeo de reativo busca dificultar o equilbrio com a carga local, onde
em condies normais a rede fica responsvel por prover o equilbrio entre potncia gerada e
consumida. Aps a desconexo da rede, o excesso ou a falta de reativo provocar mudanas
na tenso no PCC. Este mtodo implementado adicionando-se uma malha para o controle da
energia reativa alterando a fase da corrente em relao fase da tenso da rede. O diagrama
do mtodo apresentado pela Figura 34. O reativo excedente provocar mudanas mais
aparentes na frequncia da tenso da carga local.
Figura 34 - Alterao no controle para injeo de potncia reativa.
f
Freq
2
Vrede
-K-
V (pu)
Gain1
Add
60
|u|
>= 1
Abs
Compare
T o Constant
wt
2
Erro
Sin_C os
sin
1-phase
PLL
T erminator1
PI
C ontrole de fase
Trigonometric
Function
pot. reativa
40
Product
500
pot. Ativa
PI
-K-
C ontrole de Potncia
PI
1
Out1
Gain
C ontrole de C orrente
V
PQ
1
Iindutor
Este mtodo opera com uma forma de onda ligeiramente distorcida, com frequncia
ligeiramente superior a da rede e sincronizada com o cruzamento por zero da mesma. Aps o
cruzamento da corrente por zero, esta mantida nula at coincidir com a passagem por zero
da tenso da rede. Desta forma, h uma tendncia constante da frequncia se alterar em
condies de ilhamento. possvel definir a frao de corte (Chf) para o mtodo AFD, em
funo do tempo de corrente nula (Tz) e do perodo (T) da rede atravs de (21); ainda atravs
de (22) possvel estabelecer a condio para o algoritmo detectar ilhamento em funo da
72
carga RLC. Este mtodo pode ser implementado com uma mudana simples na sada do PLL,
conforme Figura 35.
Ch f = 2.
Tz
T
(21)
Ch
1
tan 1 R.(.C
) = . f
.L
2
(22)
62
2*pi
Vrede 1
caso de falha1
1
erro
fmax2
Add6
seno1
Fre q
-KGain1
V (pu) wt
w.t
Df
Sin_Cos
1-phase
PLL
sin
Terminator1
1
cte
1
s
t
Saturation
den(s)
Transfer Fcn1
2
Sign
Mult2
Ref. Senoidal
2 f max f
= max .sen
max
f max f
12Q f
(23)
(24)
73
Add5
|u|
>= 1
Abs1
Compare
T o Constant
ang. max
2
Out2
seno1
pi/2
Add6
Divide1
sin
-K-
pi/2
62
Freq
1
-K-
Vrede
V (pu)
Gain1
fmax1
wt
Sin_Co s
1-phase
PLL
sin
Terminator1
T rigonometric
Function
Ref. senoidal
C f = cf o + k .( f pll f rede )
(25)
>= 1
Abs1
Compare
T o Constant
2
Erro
Add1
Add2
60
Add3
.1
fnominal2
2*pi
mod
0.01
f0
Fre q
1
Vrede
-KGain1
V (pu)
t
1
1
s
cte
Integrator
Sin_Co s
1-phase
PLL
Math Trigonometric
Function Function
2*pi
f2
wt
T erminator1
sin
1
Ref. Senoidal
74
-K-
V (pu)
Gain1
T erminator
sin
T rigonometric
Function
Sin_Co s
1-phase
PLL
signa l
wt
T erminator1
rm s
PI
RMS
127
Tenso
Product
500
PI
Rms Vo
1
Out1
C ontrole de C orrente
PI
V
PQ
C ontrole Potencia
75
Freq
2
-K-
Vrede
V (pu)
Gain1
wt
Terminator
0.25
Sin_C os
1-phase
PLL
Alta freq.
Product1
T erminator1
sin
Trigonometric
Function
Product
PI
PI
pot. Ativa
-K-
C ontrole de C orrente
Gain
V
PQ
1
1
Out1
500
C ontrole de Potncia
Iindutor
76
Figura 40 - Efeito aps ilhamento para potncia gerada igual potncia consumida.
77
Pode ser afirmado que o pior caso para a deteco do ilhamento ocorre quando h o
equilbrio entre a gerao e o consumo. Nestes casos, os mtodos passivos so ineficazes,
tendo, portanto, os mtodos ativos maior atratividade.
61
Deteco
60
59
Desconexo
da rede
58
0,1
0,2
0,3
0,4
0,5
Tempo(s)
3.3.3 - AFD
78
ngulo(Graus)
Freq(Hz)
61
Deteco
60
59
Desconexo
da rede
58
0,1
0,2
0,3
0,4
0,5
Tempo(s)
3.3.4 - SMS
79
ngulo(Graus)
3.3.5 - SFS
80
3.3.6 - SVS
A especificao para deteco pelo SVS foi de 85% da tenso nominal, e, o tempo
para a deteco pode ser visualizado na Figura 49, onde aps o tempo de 0,1s, a rede
desconectada e o algoritmo passa a reduzir a potncia injetada at atingir a tenso para
deteco.
Tenso(RMS)
81
Este mtodo no se mostrou eficaz para os testes com a carga RLC j que um valor
relativamente elevado de corrente injetada em uma frequncia acima da frequncia da rede se
fez necessria para alterar os parmetros da tenso na carga local. Normalmente, a carga RLC
apresenta caractersticas de filtro-passa baixa para correntes de alta frequncia, quase no
impactando a tenso nesta frequncia especfica, quando da sada da rede. A Tabela 4 resume
as principais caractersticas dos algoritmos avaliados.
Mtodo
Injeo de
Grau de
Distrbio na
rede
Mdio (FP
59 Hz
110 ms
2%
Simples
AFD
61 Hz
138 ms
7,5%
Mdia
SMS
59 Hz
185 ms
2%
Mdia
Mnimo
SFS
61 Hz
235 ms
2,5%
Mdia
Baixo
SVS
107 V
290 ms
2%
Simples
Mnimo
Reativo
reduzido)
Alto (DHT
elevada)
82
3.4 Concluses
83
Captulo 4
Avaliao das Principais Topologias de Conversores para
Aplicao com PVs
Nas primeiras aplicaes de conversores para aproveitamento de energia dos PVs era
comum a utilizao de grandes associaes srie e paralelo de mdulos fotovoltaicos
concentrados em um nico conversor, vide Figura 50, o qual apresentava grande ineficincia
por causa dos diodos srie que precisavam ser inseridos em cada ramo paralelo da associao,
para que no houvesse fluxo de energia entre os mdulos, e tambm em virtude da m
distribuio de potncia entre os mesmos. Alm disso, devido centralizao do algoritmo de
MPPT, cada ramo pode vir a no operar no ponto de mxima potncia, representado perdas de
energia.
Figura 50 - Tecnologia de nico conversor centralizado para aplicao com mltiplos painis PVs.
D1
D2
Dn
PV
PV
PV
PV
PV
PV
PV
PV
PV
CC
CA
Rede
Fonte: Kjaer et al. (2005).
84
85
PV
PV
PV
PV
PV
PV
PV
CC
CC
CC
CC
CC
CC
CC
CA
CA
CA
Rede
(a )
(b)
(c )
86
sistema se torne cada vez mais atrativo, e, a busca por sistemas com maior densidade de
potncia, alta eficincia, maior confiabilidade, maior vida til e menor custo so esperados
(KJAER et al., 2005; LI; WOLFS; 2006; LISERRE et al., 2010; SPAGNUOLO et al., 2010). A
obteno de conversores mais eficientes ser, num futuro prximo, obtida com novas
tecnologias de semicondutores de potncia do tipo Silicon Carbide (SiC), os quais permitem
elevadas frequncias de operao, sem aumento significativo de perdas, e operao confivel
com altas temperaturas de juno. Desta forma, haver diminuio dos elementos reativos e de
dissipao de calor, traduzindo em conversores com menor peso e custo (LISERRE et al., 2010;
SPAGNUOLO et al., 2010).
4.1.1 Isolao Galvnica
87
Em virtude da busca de novas estruturas com reduzidos peso, volume e custos, verifica-se
uma tendncia para a pesquisa de conversores com topologias sem isolao galvnica
(LISERRE et al., 2010; SPAGNUOLO et al., 2010).
Em resumo, o uso de transformadores tende a piorar o rendimento, reduzir a vida til e
aumentar o custo, diminuindo a aceitao no mercado. A segurana tambm pode ser atendida
sem a isolao galvnica, e, enquanto no for uma exigncia no pas, esta pode ser uma
importante oportunidade para a produo de conversores de baixo custo e de alta confiabilidade
e eficincia.
BF
CA
(a)
CC
AF
CA
(b)
CC
CC
CA
CA
(c )
CA
CC
CC
AF
CA
CA
88
transformadores, o ganho de tenso deve ser dado por um estgio inicial que possibilite esta
elevao, cabendo ao segundo estgio a inverso, segundo ilustra a Figura 53.
Figura 53 - Esquema sem transformador.
CC
CC
CA
CC
Esta a configurao mais comum para os conversores sem isolao galvnica. Para
reduzir a um nico estgio, neste caso, a estrutura conversora deve contemplar a elevao e
inverso da tenso, integrando os estgios. Esta reduo pode diminuir o nmero de
componentes passivos e ativos, reduzindo o custo e a complexidade do conversor e melhorando
a eficincia, mas exigindo mais da unidade de controle que deve ser responsvel tanto pelo
ganho de tenso quanto por sua inverso, alm de incorporar os algoritmos de MPPT, antiilhamento e sincronismo.
4.1.3 Isolado ou Conectado Rede
Para que o conversor opere de forma isolada da rede (stand-alone), a sua sada deve
possuir caracterstica de fonte de tenso para atender a maioria das cargas, que so projetadas
para operar sendo alimentadas por fontes de tenso reguladas e estabilizadas. Nestes casos, a
sada um filtro LC sintonizado para eliminar as componentes mltiplas da frequncia de
chaveamento; isto para o caso de um inversor com sada tipo fonte de tenso (VSI). Para o caso
de um inversor com sada fonte de corrente (CSI - Current Source Inverter) necessrio um
capacitor para se carregar com a corrente pulsante, provendo, desta forma, a tenso necessria.
Esta caracterstica bsica de sada destes inversores est ilustrada na Figura 54. Nestas figuras,
os blocos VSI e CSI correspondem aos conversores sem filtros de sada, que fornecem tenso
pulsante ou corrente pulsante, respectivamente, como apresentado. Os componentes passivos
so necessrios devido carga considerada, que necessita ser alimentada por uma fonte de
tenso regulada e com baixa taxa de distoro harmnica. Por esta razo, estes componentes so
projetados primeiramente como filtros; sendo estes tambm determinantes para a dinmica do
conversor, que deve ser considerada durante o projeto dos controladores das malhas de controle,
para permitir o rastreamento satisfatrio em 60Hz. Ou seja, a malha de controle de tenso deve
ter resposta rpida o suficiente para reproduzir a tenso de sada na frequncia desejada pela
carga.
89
L1
R
Para se conectar rede eltrica (grid-connected), a sada do conversor deve ser do tipo
fonte de corrente; sendo portanto, um indutor de sada necessrio, como apresentado na Figura
55. Em aplicaes de elevadas potncias possvel a utilizao da indutncia de rede no lugar
do indutor de acoplamento, o que torna o controle da estrutura muito mais complexo, sendo
prefervel a utilizao da indutncia fsica de conexo. Com uma sada LCL para VSI ou CL
para CSI, o mesmo conversor poderia alimentar tanto cargas em paralelo com o capacitor
quanto poderia conectar-se a rede por meio do segundo indutor (L2). A vantagem poderia estar
na possibilidade de conectar cargas prioritrias ao conversor, que operariam isoladamente no
caso da interrupo da rede eltrica. Mas a desvantagem est no aumento do nmero de
componentes e da complexidade do controle aumentando o custo, e ainda, estaria energizado
em caso de reconexo da rede aps um ilhamento.
Figura 55 - Caracterstica de sada dos conversores para operao isolada e conectada.
L2
L1
L2
Vac
Vac
R
Fonte: Prprio autor.
Para que o conversor opere somente com conexo rede de distribuio, necessrio
somente um indutor na sada, conforme Figura 56, para os conversores do tipo fonte de tenso,
de forma a controlar a injeo de corrente ou um filtro CL para inversores tipo fonte de
corrente. A operao com conexo rede dispensa o uso de baterias e circuitos de
carregamento, como nas operaes isoladas, garantindo o mximo aproveitamento de energia
do painel e melhorando a vida til do sistema; sendo fatores de forte apelo que propiciam o
aquecimento deste mercado.
90
Figura 56 - Caracterstica de sada dos conversores para operao em conexo com rede.
L1
L1
Vac
Vac
91
Esta integrao consiste na unio dos estgios inversor e elevador nas topologias sem
isolao galvnica, tendo como principal vantagem a reduo do nmero de componentes e na
simplificao do circuito. O conversor Boost CC-CC pode ser integrado ao inversor
convencional VSI eliminando o diodo, o capacitor e, por conseqncia, a chave do conversor
Boost pode ser substituda pelas chaves do inversor. Em relao ao VSI, o indutor de sada pode
ser retirado. O resultado desta integrao, leva ao conhecido inversor CSI (Current Source
Inverter). Os passos para esta integrao esto apresentados na Figura 57, onde os componentes
que podem ser retirados em cada etapa esto destacados.
importante observar tambm que os diodos em anti-paralelo com as chaves do inversor
VSI devem ser retiradas para que ele possa operar como CSI. Estes diodos causariam curtocircuito do capacitor de sada durante a operao. Alm disso, como a estrutura deve operar
como inversor, as chaves da ponte CSI devem suportar bloqueio de tenso reversa. Isto pode ser
alcanado com a utilizao dos chamados RB-IGBTS (Reversing Blocking IGBTs), que so
chaves com diodos srie encapsulados para prover a capacidade de bloqueio reverso, ou a
insero de diodos externos srie em cada semicondutor da ponte CSI.
92
93
Vref
Ev
Cv ( s)
I ref
Ei
Ci ( s)
VC
VPV
Gid
IL
Gvi
Vout
Ki
Abs
Kv
Fonte: Prprio autor.
Com este controle, a corrente de entrada deste conversor apresenta caracterstica senoidal
retificada, tendo, portanto, o PV que apresentar um capacitor de desacoplamento maior. Mas,
em compensao, a indutncia deste inversor Boost muito menor do que a do conversor CSI
convencional. Outro problema desta estrutura que a mesma no opera de forma adequada
quando a tenso de sada menor do que a de entrada. Este problema operacional intrnseco da
estrutura do inversor Boost pode ser entendido de forma clara quando se analisa a variao da
razo cclica de trabalho em funo do intervalo de tempo (t) variando-se tambm o ganho
esttico. O ganho esttico do inversor Boost, operando em MCC, o mesmo do conversor
Boost CC-CC (RASHID, 2001), sendo apresentado em (26):
Vout
1
=
Vin 1 D
(26)
VP sen(t )
Vin
1
1 D
(27)
D = 1
Vin
1
.
Vp sen(t )
(28)
Estabelecendo a relao :
=
e substituindo-se em (28) obtm-se (30):
Vin
Vp
(29)
94
D = 1
sen(t )
(30)
Pela equao (30) verifica-se que a razo cclica varivel. A fim de melhor visualizar
a variao da razo cclica em funo da varivel t, para cada especfico, plotou-se o baco
da Figura 59. Neste baco, pode-se visualizar um intervalo no qual o inversor boost integrado
no opera de forma adequada, que quando a tenso de sada menor do que a tenso de
entrada.
t
Fonte: Prprio autor.
Uma tentativa de minimizar este problema est na insero de uma etapa de pr-carga
com caracterstica resistiva de forma a grampear a tenso de sada no valor da tenso de entrada.
Mesmo com estes cuidados, a estrutura apresenta dificuldades de controle e maior distoro
harmnica quando opera com cargas reduzidas (SAMPAIO et al., 2010; BRITO et al., 2010).
O problema da impossibilidade de se controlar tenses de sada menores do que a de
entrada no verificado na topologia convencional com 2 estgios, uma vez que a caracterstica
do conversor Boost no relevante, j que o inversor VSI quem faz o trabalho de abaixador.
exatamente por esta razo que um conversor Buck-Boost no utilizado antes do inversor, mas
a integrao do inversor VSI com um conversor CC-CC Buck-Boost resolve este problema de
controle. Contudo, a chave em srie com a corrente de entrada tambm prejudica o conversor no
que se refere ao rendimento da estrutura e da qualidade da corrente que drenada do PV,
precisando de um capacitor de desacoplamento maior. Alm disso, a corrente no indutor BuckBoost maior do que a corrente no indutor Boost, para a mesma potncia de operao. O
95
Sb
S1
Vin-
Cb
Lb
S2
LO
CO
S3
S4
S1
S2
(a)
Db
Sb
Vin-
Lb
CO
S3
S4
S1
S2
(b)
Sb
Vin -
CO
Lb
S3
S4
(c)
Vout
D
=
Vin 1 D
(31)
VP sen(t )
Vin
D
1 D
(32)
96
V p sen ( t )
Vin + V p sen ( t )
(33)
Vin
Vp
(34)
D=
sen(t )
+ sen(t )
(35)
Pela equao (35) verifica-se que a razo cclica tambm varivel e a fim de se
visualizar sua variao em funo da varivel t, para cada especfico, plotou-se o baco da
Figura 61.
t
Fonte: Prprio autor.
97
ocorre somente quando a chave Saux est em conduo e permite a manuteno de energia no
elemento indutivo, sem transferncia de potncia para a sada.
Figura 62 - Inversor monofsico Boost Tri-State.
Saux
Vdc
S1
S2
Co
Lb
S3
S4
98
99
S3
Vdc
Lac Vac
S1
Vdc
Cac
S2
S2
Lac
S3
Sc
S4
Cz
Vac V
dc
Vac
Cac
Lbb
S4
S1
Vdc
Lac
S3
Sbb
Sz
S3
S1
Lac
Vac
Lz
Cc S 2
Lc
S4
S2
Ls
Vdc
S1
Cs
Ss
S3
S4
Lac
Ls 2
Vac
Cac
S2
S4
S2
Lac
S3
Vac
Vdc
Vdc
S4
S5
S1
S2
S3
S4
S5
S6
Lac
Lbb
S6
Cac
S1
Vdc
S2
S3
Cac
Lac
Vac
Sc
Lc
Vac
Sbb
Sz
C z S1
S2
S3
S4
S5
S6
Lac
Vac
Vdc
Lz
Cc S
4
S5
S6
Ls
Vdc
Cs
Ss
S1
S2
S3
S4
S5
S6
Lac
Vac
Ls 2
Cac
100
tenso controla a sada do conversor utilizando apenas a varivel de estado tenso no capacitor
de sada, e, desta forma, o modelo do conversor apresenta ordem proporcional ao nmero de
elementos reativos, o que leva a uma maior dificuldade para se realizar a sintonia do controlador
(ERICKSON; MAKSIMOVIC, 2001; RASHID, 2001). Outro controle possvel o controle no
modo corrente, que utiliza como variveis de estado a tenso no capacitor de sada e tambm a
corrente no indutor de acumulao principal da estrutura, e, desta forma, reduz a complexidade
do modelo do conversor (ERICKSON; MAKSIMOVIC, 2001; RASHID, 2001). Por outro lado,
ao realizar o controle em modo corrente, a sada CA e a entrada CC no so compatveis do
ponto de vista do sistema de controle, e, todas as grandezas de sada precisam ser trabalhadas
apenas com relao aos seus valores absolutos para que o controle possa ser aplicado como se
fosse um conversor CC-CC. Este procedimento provoca distores no cruzamento por zero,
onde ocorre a inverso de polaridade.
Realizar a modulao de 3 estados melhora a dinmica do circuito e possibilita o controle
do conversor em modo tenso, eliminando o problema no cruzamento por zero. Esta modulao
com 3 estados, se usada apropriadamente, pode realizar o desacoplamento de potncia ativa,
semelhante aos conversores convencionais. Apesar desta modulao ser mais complexa, esta
traz vantagem na menor dependncia entre extrao de potncia no MPP do PV e da injeo de
corrente senoidal na rede, o que no possvel nos conversores integrados convencionais
(GALOTTO JUNIOR et al., 2011; BRITO et al., 2012).
Os inversores mais comuns, para aplicaes trifsicas de pequenas potncias, ainda se
baseiam na associao em cascata do conversor Boost mais inversor VSI, mas a grande parcela
das aplicaes dos inversores trifsicos se d para potncias elevadas (acima de 10kW). Dessa
forma, natural a utilizao de associaes srie-paralelo de PVs a fim de prover a potncia
necessria para a aplicao, e assim, obtm-se tenso de entrada suficientemente elevada para a
utilizao de apenas um inversor VSI. No entanto, para aplicaes de mdias potncias, entre
1kW e 2,5kW, as associaes de PVs podem no oferecer o nvel de tenso necessrio para a
maioria das aplicaes, e, desta forma a utilizao do estgio Boost pode ser interessante.
Entretanto, este estgio demandar correntes elevadas e suas perdas sero significativas, assim a
utilizao de inversores trifsicos integrados ganha destaque.
Os inversores integrados trifsicos apresentam uma importante vantagem quanto
reduo do volume dos elementos armazenadores de energia. Como a potncia trifsica
constante e no mais pulsante em 120Hz, como no caso monofsico, o projeto do indutor de
elevao de tenso fica baseado na frequncia de chaveamento da estrutura, no tendo que
filtrar a ondulao adicional. Normalmente, como a frequncia destes conversores deve ser
101
maior do que 20kHz, at para se evitar rudo audvel, os elementos armazenadores tero peso e
volume menores do que suas verses monofsicas.
Um quesito interessante desses inversores trifsicos est em como proceder com a
ativao de suas chaves a fim de propiciar tenses/correntes trifsicas defasadas e com
distores aceitveis. O uso da modulao PWM trifsica senoidal possvel, entretanto, se
torna custosa e de pior desempenho uma vez que estados de curto-circuito (instantes de carga do
indutor Boost para propiciar elevao de tenso) devem ser inseridos de forma adequada em
todos os ciclos de comutao. Uma soluo interessante a utilizao da modulao atravs de
vetores espaciais, a denominada Space Vector Modulation (SVM) (BOLOGNANI;
ZIGLIOTTO, 2002; COLLI et al., 2006), tambm adaptada para prover os adequados instantes
de curto-circuito, mas que apresenta desempenho muito superior do que as modulaes
convencionais. Ainda, esta modulao facilita a utilizao do controle em bases 0 ou dq0,
que tm forte apelo quando se trata do controle de conversores trifsicos. Alm disso, nestes
inversores, tambm possvel realizar o controle independente entre entrada e sada atravs da
modulao de 3 estados, que tambm deve ser adicionada adequadamente ao modulador.
102
Figura 66 - Modelo de simulao para a associao do conversor elevador mais inversor VSI monofsico
[A]
Discre te,
T s = 1e-006 s.
Lb
powe rgui
S11
Ponte
Diode
c
2
V2
C
Breaker
A
C2
IGBT
E
AC
Multimeter6
IL
+ v
-
[C]
V3
V4
Multimeter3
IL
S1
S1
Iref
From
Constant
Vrede
21
S1
Vout
Multimeter2
Multimeter7
[A]
Break
Vcap
Subsystem1
[C]
Subsystem
Display
250.3
In Mean
Multimeter1
Discrete
Mean value
-KGain2
502.6
-K-
Multimeter8 Gain
Display2
Scope4
1
Multimeter5
In
R MS
Discrete
RMS value
-K-
442.9
-K-
signalTHD
[C]
Gain3
Display3
Gain1
DHT
From1
2.347
Display1
Discrete
PID C ontroller1
Memory
1
PID
>=
IL
1
S1
Repeating
Sequence
2
Iref
Memory1
Limite D
3
Vout
Constant
290
103
Vcap
Break
2
PID
Memory
Discrete
PID C ontroller1
250
IL
1
Constant
PID
Memory1
Discrete
PID C ontroller
Fre q
Vrede
2
-K-
V(pu)
wt
Terminator1
sin
>
Memory2
Gain1
Sin_C o s
Discrete
1-phase PLL
Trigonometric
Function
Terminator2
Mult
Relational
Operator6
Repeating
Sequence4
-1
Gain
<
Relational
Operator7
NOT
Logical
Operator2
S1
1
NOT
Logical
Operator1
104
IL
Goto
Lrede
i
-
Iin
Diode
L2
Vdce
AC 1
Cb1
A
-
Universal Bridge
time
Clock
To Workspace2
Vref
lei de controle
Ref.
Modulante
Vsaida
g
Multimeter1
IL
Ientrada
Multimeter2
Scope3
Vref
Vref 1
From3
signal
T HD
modulador
Ilrede
Multimeter4
Discrete
Total Harmonic
Distortion1
Controle
-KGain1
8.946
Vrms3
Compare
T o Zero
|u|
Memory
Abs1
NOT
|u|
Memory2
Logical
Operator
Abs2
PID
PID
-CConstant
Product
Discrete
PID Controller3
Discrete
PID Controller2
Add
PID
Discrete
PID Controller1
3
Ientrada
1
Ref_Sen
|u|
Abs
>=
NOT
Relational
Operator
Memory1
NOT
Repeating
Sequence
Switch
Logical
Operator3
Logical
Operator2
105
controlar o inversor como se fosse um conversor CC-CC utilizando os valores absolutos das
grandezas medidas. Alm disso, o conversor Boost integrado (CSI) tambm no opera com
tenso de sada menor do que a de entrada aumentando sua distoro. A visualizao da
corrente injetada na rede, em conjunto com a tenso da rede, est apresentada na Figura 71.
Figura 71 - Corrente injetada na rede com os conversores avaliados.
200
10
150
-150
-5
-200
450
460
470
480
Corrente(A)
Tenso(V)
2 Estgios
-10
500
490
Tempo(ms)
200
10
Boost TriState
Boost
Integrado
-150
-5
Tenso(V)
10
-200
0
20
40
60
80
100
20
40
200
80
100
10
Buck-Boost
Tri-State
Boost c/
Chave Aux
150
-150
-5
Corrente(A)
Tenso(V)
60
Tempo(ms)
Tempo(ms)
10
-200
0
20
40
60
80
100
20
40
Tempo(ms)
60
80
100
Tempo(ms)
200
10
Cuk
Integrado
Buck-Boost
Integrado
150
-150
-5
-200
Corrente(A)
Tenso(V)
Corrente(A)
150
10
0
20
40
60
80
100
Tempo(ms)
20
40
60
80
100
Tempo(ms)
106
complexidade ao sistema de controle. Alm disso, a corrente de entrada ser a varivel que
refletir as variaes de potncia no lugar da tenso do capacitor do estgio CC, j que a
potncia da rede eltrica tem caracterstica natural pulsante em 120Hz, refletindo na
necessidade de um maior capacitor de desacoplamento passivo em paralelo com o painel
fotovoltaico. Alm disto, parte da energia solar disponvel durante os transitrios ser perdida,
prejudicando o fator de rastreamento (FR) (JAIN; AGARWAL, 2007).
Os grficos da Figura 72 mostram a corrente fornecida pelo painel (a) e a corrente no
indutor de elevao de tenso (b). As configuraes convencional, Boost de 3 estados e BuckBoost de 3 estados foram simuladas com capacitncia de desacoplamento 50 vezes menor do
que os demais, o que apresenta um ganho para a maior vida til do sistema fotovoltaico. Com os
conversores de 3 estados, a corrente de entrada pode ser controlada para ser mais prxima da
contnua assim como no conversor convencional, sendo este o motivo para que o capacitor de
desacoplamento seja menor. Mas, por outro lado aumenta o tamanho do indutor que deve
realizar um desacoplamento indutivo de potncia. No entanto, o uso de elementos indutivos no
prejudica a vida til do sistema.
Figura 72 - Detalhe das correntes para os inversores.
(a)
(b)
Fonte: Prprio autor.
107
108
Inversores
DHT I(%)
FR(%)
2,5
98,2
9,5
89,1
4,8
89,2
3,9
95,0
Cuk
2,8
93,2
Boost 3 Estados
1,6
97,9
1,5
97,8
Chaves*
Diodos
2 estgios
Boost
Buck-Boost
Boost c/ Chave
Auxiliar
Buck-Boost 3
Estados
109
O conversor de dois estgios, como comentado, est entre as solues mais simples e nem
por isto menos eficaz para se realizar a interface entre o painel fotovoltaico e a rede de
distribuio. O conversor mais utilizado para a extrao da mxima potncia e para elevao de
tenso do painel PV o conversor Boost CC-CC. Desta forma, simularam-se os inversores VSI
trifsico e VSI trifsico NPC 3 nveis para injeo de corrente senoidal na rede, utilizando
modulao unipolar e todos precedidos pelo Conversor Boost. As topologias destes inversores
esto apresentadas na Figura 74, onde a fonte Vdc representa o capacitor de sada do primeiro
estgio, apenas para simplificao das figuras.
Figura 74 - Inversores trifsicos a duplo estgio (a) VSI (b) NPC 3 nveis.
S1
S5
S3
Lac
Vac
Vdc
2
Vdc
S2
S4
S6
Vdc
2
S1
S5
S9
S2
S6
S10
D3
D1
D4
D2
(a)
Lac
D5
Vac
D6
S3
S7
S11
S4
S8
S12
(b)
Fonte: Prprio autor.
110
Figura 76 - Formas de Onda para o NPC trs nveis. (a) Corrente injetada (b) Tenso de linha antes do filtro.
(a)
(b)
Figura 77 - Formas de onda para o inversor boost. (a) Corrente no indutor. (b) Correntes injetadas na rede.
Figura 78 - Formas de onda para o inversor buck-boost. (a) Corrente no indutor. (b) Correntes injetadas na rede.
40
10
30
20
10
-5
0
0
0,1
0,2
0,3
0,4
0,5
Tempo(s)
0,6
0,7
0,8
0,9
-10
0,4
0,41
0,42
0,43
Tempo(s)
0,44
0,45
111
Figura 79 - Formas de onda para o inversor boost de 3 estados. (a) Corrente no indutor. (b) Correntes injetadas
na rede.
10
30
20
10
-5
0
0
0.1
0.2
0.3
0.4
0.5
Tempo(s)
0.6
0.7
0.8
0.9
-10
0,4
0,41
0,42
0,43
0,44
0,45
Tempo(s)
112
Figura 81 - Rampa de injeo de corrente na rede (a) Boost Integrado de 3 estados. (b) Boost Integrado
113
Vo
Io
IL
Fonte: Prprio autor com o uso do osciloscpio infiniium.
A tenso de sada deste conversor apresenta o formato senoidal com mdio contedo
harmnico sendo este da ordem de 6,5%. Este valor bem reduzido se comparado com o
inversor Boost sem etapa de pr-carga, o qual ultrapassa os 10% de distoro.
Com o intuito de verificar a qualidade dos compensadores projetados, um degrau de meia
carga para carga nominal foi aplicado neste conversor, e, se observou uma tima resposta
transitria uma vez que a corrente de pico no indutor Boost saiu quase que instantaneamente de
5A para 10A, como ilustra a Figura 84.
114
Vo
Io
IL
115
Os mesmos testes foram realizados no conversor Zeta operando no modo isolado da rede.
As principais formas de onda so apresentadas na Figura 88 enquanto que o degrau de carga
apresentado na Figura 89.
116
117
Figura 90 - Partida dos conversores de 3 estados. (a) Boost; (b) Buck-Boost. Tenso de Sada em Azul e
Corrente no Indutor em Verde. Escalas: Tenso (100V/div); Corrente (10A/div); Tempo (100ms/div).
(a)
(b)
Figura 91 - Principais formas de onda do Conversor Boost de 3 estados no modo isolado. Escalas: Tenso
(200V/div); Corrente (15A/div); Tempo (10ms/div).
118
Figura 92 - Principais formas de onda do Conversor Buck-Boost de 3 estados no modo isolado. Escalas: Tenso
(200V/div); Corrente (15A/div); Tempo (10ms/div).
Figura 93 - Tenses de sada do conversor Buck-Boost de 3 estados. (a) Tenso do conversor em verde
(100V/div) e (b) (Tenso da rede em azul (100V/div); Tempo (10ms/div).
(a)
(b)
Fonte: Prprio autor com o uso do osciloscpio infiniium.
119
Este conversor tambm foi testado alimentando uma carga local e simultaneamente
injetando corrente na rede CA em 127 Vrms. Dessa forma, operando tanto no modo stand-alone
quanto no modo grid-tied. Estes resultados so apresentados na Figura 95.
Figura 95 - Formas de onda para operao simultnea em stand-alone e grid-tied. Tenso da rede em verde
(100V/div), Corrente injetada em rosa (2A/div), tenso do conversor em azul (100V/div) e corrente na carga
local (2A/div); Tempo (20ms/div).
120
121
Figura 98 - Formas de onda da corrente no indutor Buck-Boost (IBB), na entrada do conversor (Iin) e no painel
fotovoltaico (IPV).
I: 10A/div
Tempo:
10ms/div
IBB
Iin
IPV
122
4.4 Concluses
A busca por solues utilizando conversores integrados deve se tornar uma realidade em
virtude da diminuio da quantidade de componentes, reduo de volume, custos e aumento de
vida til, levando consequentemente reduo dos custos da instalao dos painis
fotovoltaicos e incremento de confiabilidade das futuras instalaes.
O prvio estudo das topologias monofsicas direcionou a escolha da topologia BuckBoost integrada de 3 estados para os testes com conexo rede em corrente alternada, isto em
virtude da excelente forma de onda de corrente injetada na rede, com reduzido contedo
harmnico; possibilidade de controle em modo tenso e boa taxa de rastreabilidade. Alm da
possibilidade de controle independente entre entrada/sada e pela possibilidade do
desacoplamento indutivo levando a uma melhor interface com os painis fotovoltaicos.
123
Captulo 5
Inversores Integrados Trifsicos Tri-State
Boost Tri-State
A topologia do inversor trifsico Boost Tri-State (BRITO; CANESIN, 2012) pode ser
visualizada na Figura 99. O filtro CL de segunda ordem obrigatrio para a conexo com a
rede, sendo, para o caso isolado, o indutor de sada dispensvel.
Lac
Vac
LB
Este inversor possui uma chave auxiliar (Saux) para possibilitar o estado de roda-livre
(denominado de mantm) da corrente no indutor Lb. Os trs estados de operao podem ser
visualizados atravs da Figura 100, considerando-se apenas a alimentao de uma fase. O
estado de curto-circuito responsvel pela carga do indutor Boost, o estado ativo se encarrega
de alimentar a carga e o filtro de sada enquanto que o estado de roda-livre responsvel pela
manuteno da energia sobre o indutor Boost. O intervalo de curto-circuito dura D1.T; o
estado ativo ocorre durante D2.T e o estado de roda-livre se estende durante (1-D1-D2).T. T
representa o perodo de chaveamento e o modo de operao baseado no modo de conduo
contnua (MCC). O estado ativo D2.T se divide em outras duas etapas, denominadas de Ta e
Tb, que sero discutidos durante a apresentao da modulao space vector adaptada.
124
LB
Vac
Lac
Vac
LB
LB
Cac
125
possvel determinar os vetores espaciais para os inversores do tipo fonte de corrente (CSIs). A
Figura 101 mostra a obteno da modulao para os CSIs a partir da modulao SVM VSI.
Figura 101 - Modulao espacial para os inversores VSI e CSI
possvel verificar que os setores para a modulao CSI so defasados em relao aos
setores da SVM em trinta graus. Outra caracterstica interessante que, diferentemente da
VSI onde 3 chaves esto sempre em conduo, nos CSIs apenas duas chaves podem conduzir
simultaneamente. Este quesito obrigatrio uma vez que o lado CA principalmente
capacitivo e, dessa forma, no pode ser curto-circuitado. Como o barramento CC do tipo
fonte de corrente, este nunca pode estar em aberto. Estes requisitos so atingidos sempre que
apenas uma chave superior e uma chave inferior da ponte esto em conduo
simultaneamente, a menos do instante de roda-livre, onde as chaves da ponte esto em aberto.
Como exemplificao para a obteno do setor 1 baseia-se no diagrama da Figura 101, onde a
chave S1 est fechada juntamente com a chave S5. Desta forma, a tenso na fase a mais
positiva enquanto que a tenso na fase b mais negativa. Assim tm-se os fasores
representados por Max a e Min b, da modulao VSI, e realizando a soma vetorial destes dois
fasores encontra-se o fasor (S1,S5) do primeiro setor da modulao CSI. Realizando-se o
mesmo procedimento para todas as outras possibilidades de chaveamento, encontram-se os
setores da modulao CSI. Um item muito importante, diferentemente dos conversores VSIs
onde necessria a insero de dead time na troca de estado dos interruptores de cada brao,
evitando assim curto circuito no barramento CC, que os conversores CSIs devem
implementar o chamado short time de modo a sempre haver um caminho para a circulao de
corrente do barramento CC (corrente no indutor de boost).
O prximo passo remete ao clculo das projees do vetor espacial baseado no setor onde
este vetor se encontra. Assumindo rotao de trinta graus possvel utilizar apenas o setor 1
para os clculos, lembrando-se que para posterior modulao imprescindvel o envio do
126
setor correto. O setor 1 considerado em fase com a fase a, e.g, fase a em zero graus, como
visualizado na Figura 102. Desta forma, todos os vetores so enviados para o setor 1 durante o
clculo das projees.
Figura 102 - Clculo das projees baseadas no setor 1.
sin V )
sin V )
Tnull = Ts Ta Tb
(37)
(38)
(39)
127
Figura 103 - Diagrama de blocos da modulao proposta (a); Exemplo de implementao dos pulsos de gate (b).
(a)
(b)
128
Entradas
Setor
Sec2
Sec1
Sec0
Sada
Ta
Tb
Estados
Curto
Tempo Ta
Tempo Tb
Mantm
129
Tabela 8 - Codificao para seleo dos estados de chaveamento do inversor Boost Tri-State.
Entradas
Sadas
Sec2
Sec1
Sec0
Ta
Tb
Saux
S1
S2
S3
S4
S5
S6
130
S1
LB
Lac
S5
Cac
Lac
Vac
LB
Vac
Cac
(40)
I&LB 0
=
V&o D2
Cac
D2
( D1 + D2 )
LB I LB
LB
. +
V
0
0 o
Vin
.
1 I o
Cac
0
(41)
131
Po
I LB =
Io
G=
D2
Vo
Vin
3.VLinha
D2
D1 + D2
D2
(42)
(43)
Atravs de (43) verifica-se que quando D2 tende a zero o ganho tende ao infinito e
conseqentemente por (42) a corrente no indutor tambm tende ao infinito. Assim, verifica-se
132
que neste conversor o ganho de tenso est relacionado diretamente ao ganho de corrente que
ocorre no indutor Boost. Contudo, as perdas na resistncia do indutor levam a uma reduo
efetiva na corrente neste indutor e, conseqentemente, no ganho de tenso prtico. Incluindo a
resistncia srie do indutor no modelo em espao de estados mdio, tem-se:
LB .I&LB = (Vin RLB .I LB ).D1 + (Vin RLB .I LB Vo ).D2 + 0.(1 D1 D2 )
(44)
(45)
RLB
I&LB
LB
& =
Vo D2
Cac
D2
( D1 + D2 )
LB I LB
LB
. +
V
0
0 o
0 V
in
.
1 I o
Cac
(46)
Vo
Vin
D1 + D2
1
R
D2 + LB .
RO D
2
(47)
133
Estes elementos magnticos podem ser calculados a partir das etapas de operao do
inversor atribuindo a porcentagem de ondulao de corrente e de tenso desejadas. Para o
clculo do indutor considera-se o intervalo de armazenamento D1; para o clculo do capacitor
considera-se o intervalo em que este elemento alimenta sozinho a carga. Assim chegam-se s
expresses:
VLB = LB .
I LB
%I
= LB . LB
t
D1.T
LB =
I o = Cac .
D1.Vin
f s .I LB
(49)
%VLinhapk
Vac
= Cac .
t
D1.T
Cac =
(48)
I o. (1 D2 )
f s .(Vac )
(50)
(51)
1
=
s.Lac
1
2. .
Lac
f Nyq
10
100
f a .
= 0,1
(52)
.Lac
(53)
134
S aux
S1
S2
S3
S4
S5
S6
Lac
Vac
Lb
Cac
Fonte: Prprio autor.
Para o clculo dos valores eficazes e mdios das correntes nos semicondutores
necessrio o clculo das grandezas em um perodo de chaveamento e posteriormente seu
clculo no perodo de rede, isto para as chaves S1 S6. Saux opera somente no perodo de
chaveamento. Assim para a chave S1 tem-se:
IS1avg _ T =
IS1avg _ f rede
1
=
2
( D1 + D2 ).T
1
T
I LB .( D1 + D2 ).d (t ) =
1
IS1rms _ T =
T
I LB .dt = I LB .( D1 + D2 )
(54)
( D1 + D2 ).T
I LB .( D1 + D2 )
3
I LB 2 .dt = I LB . ( D1 + D2 )
(55)
(56)
135
IS1rms _ f rede =
1
2
(
3
I LB .( D1 + D2 )
) .d (t ) =
2
I LB . ( D1 + D2 )
(57)
As grandezas calculadas para S1 valem para todas as chaves da ponte CSI, apesar do
formato das correntes que fluem pelas chaves S4 S6 diferirem, seus valores eficazes e
mdios so equivalentes. Isto garantido pela modulao que faz uma diviso igualitria de
esforos. Para Saux tem-se:
1
IS aux avg =
T
1
IS aux rms =
T
(1 D1 D2 ).T
I LB .dt = I LB .(1 D1 D2 )
(58)
( I LB ) 2 .dt = I LB . (1 D1 D2 )
(59)
(1 D1 D2 ).T
O grfico da curva de eficincia, supondo uma potncia mxima de 2,5kW com tenso
de entrada em 100V, para diferentes semicondutores, apresentado na Figura 110. Foram
utilizados trs conjuntos de MOSFETS e 3 conjuntos de IGBTs com o mesmo diodo
SCHOTTKY.
136
eficazes e mdios para o perodo de rede esto apresentados pelas equaes (60) (65). Notase que o filtro escolhido para a topologia VSI o filtro de rede Lac.
Figura 111 - Esboo das principais correntes no Inversor VSI Trifsico.
S1
S3
S5
S2
S4
S6
Lb
Sb
Lac
Vac
ISb avg = I LB .D
(60)
IDbavg = I LB .(1 D)
(61)
IS1avg =
Iac pico
(62)
ISb rms = I LB . D
(63)
IDb avg = I LB . 1 D
(64)
IS1rms =
Iac pico
2
(65)
137
um nvel de potncia a partir do qual o uso do Inversor Boost Tri-State se torna mais
competitivo do que o usual VSI trifsico, situando-se na faixa a partir de 1,6kW. Plota-se
tambm, para efeitos comparativos, as curvas de eficincia do conversor VSI contemplando
duas topologias de filtros de sada, o Lac e o LCLac, juntamente com a eficincia do CSI
trifsico. Com esta nova anlise se verifica que a topologia CSI se torna mais atrativa em
termos de eficincia a partir de 1,25kW com relao a topologia VSI com filtro LCLac (filtro
mais utilizado).
Figura 113 - Comparao entre as melhores eficincias do CSI e do VSI trifsicos.
100
Rendimento(%)
VSI
95
CSI
90
MOSFET SPW47N60C3 +
Diodo Schottky IDH08S60
85
500
1000
1500
2000
2500
Potncia (W)
138
Vin
d1
I LB
1
rLB + sLB
Vo
Io
1
sC o
Cte
d2
Malha de Tenso
139
Vdc
Cac
CSI
Bridge
Lb
Vac
ILb
Ref_Id
Igrida Igridb
Vca Vcb
Vgrida Vgridb
Idgrid
Igrida
abc to dq
Igridb
Sub
Control
Iqgrid
Add
Sub
Control
Sin,
Cos
Control
Sub
Add
Sub
Control
abc to dq
Gates
1
Sin,
Cos
Vdgrid
Vgrida
<V
Ref_Iq
Vgridb
|V|
dq to
ILb
D1
Sub
Vqgrid
Control
ILb_ref
Vca
Vcb
PLL
Sin,Cos
Sin,
Cos
Vdgrid
Vca
Vcb
abc to dq
Controle Entrada
Vqgrid
Gid1 =
Vin
ILB
=
d1 sLB + rLB
(66)
140
Vb
V
120
V
120
120
Vq
Vq
30
Va
60
V
Vd
Vc
Va
T0 dq0
Tabc 0
Vd
V
Vb
Vc
Fonte: Prprio autor.
V
V = 2 0
3
1
V0
2
Vd cos
V = sen
q
V0 0
3
1
2
2
2
3
2
1
2
sen
cos
0
Va
Vb
Vc
0 V
0 V
1 Vc
(67)
(68)
Estas transformadas podem ser associadas a fim de se obter uma matriz direta de
transformada do sistema abc para dq0, denominada de T e representada por (69).
141
(
)
sen ( -120 )
cos
T = 2 sen
3
1
2
(
(
)
)
cos +120o
sen +120o
1
2
cos -120o
(69)
O controle da sada deste conversor, tanto para controlar tenses quanto para controlar
correntes trifsicas, depende unicamente da razo cclica D2, representante dos vetores
espaciais adjacentes. O modelo para a obteno das funes de transferncia para o controle
da sada fica melhor compreendido pelo diagrama da Figura 118.
Lac
Vin
Vac
Lb
Cac
A somatria das tenses na sada do conversor nula, sendo assim, a tenso sobre os
capacitores de rede ditam a seguinte igualdade matricial:
VC a ra
VC = 0
b
VC c 0
0 ILa La
0 ILb + 0
rc ILc 0
0
rb
0
0
Lb
0
0 ILa E a
d
0 ILb + Eb
dt
Lc ILc E c
(70)
0
r
0
0 ILa L
0 ILb + 0
r ILc 0
0
L
0
0
d
0
dt
L
ILa E a
IL + E
b b
ILc E c
(71)
0
L
0
0
ILa
Ea
d 1
0 T . T ILb + T . Eb
dt
ILc
Ec
L
(72)
142
VCa r 0 0 ILa L
T . VCb = 0 r 0 T . ILb + 0
VCc 0 0 r ILc 0
VCd r 0 0 ILd L
VC = 0 r 0 IL + 0
q
q
VC0 0 0 r IL0 0
VCd r 0 0 ILd L
VC = 0 r 0 IL + 0
q
q
VC0 0 0 r IL0 0
0
L
0
0
L
0
0
ILd
Ea
d 1
0 T . T ILq + T . Eb
dt
IL0
Ec
L
0
L
0
0
dT 1
0 T.
dt
L
0 0
0
L 0
ILd
IL + T .T 1 . d
q
dt
IL0
ILd Ed
IL + E
q q
IL0 E0
(73)
(74)
ILd Ed
0 ILd
d
0 IL0
IL0 E0
0
0
(76)
A somatria das correntes nos ns abc nula, e desta forma tem-se que as correntes de
rede ditam a imediata igualdade matricial:
ILa ILb .d 2 a C a
IL = IL .d 0
b b 2b
ILc ILc .d 2 c 0
0
d
0
dt
C c
0
Cb
0
VC a
VC
b
VC c
(77)
0
d
0
dt
C
0
C
0
VC a
VC
b
VC c
(78)
ILa
ILb .d 2 a C 0 0
VCd
d 1
ILc
ILc .d 2 c 0 0 C
VC0
ILd ILb .d 2 d C
IL = IL .d 0
q b 2q
IL0 ILc .d 20 0
0
C
ILd ILb .d 2 d C
IL = IL .d 0
q b 2q
IL0 ILc .d 20 0
0
C
0
VCd
dT 1
+ T .T 1 . d
0 T.
VC
q
dt
dt
VC0
C
00
0
C 0
0
0
0 VCd
d
0 VCq +
dt
0 VC0
(79)
VCd
VC
q
VC0
(80)
VCd
VC
q
VC0
(81)
143
ILd ILb .d 2 d C
IL = IL .d
q b 2q 0
VC d d VC d
0 VC q dt VC q
0 0
(82)
dt
(83)
= VC d E d
r .ILd + L
dt
r .ILq = VC q .L.ILd
(84)
(85)
Verifica-se que existe um acoplamento direto entre as equaes dos eixos d e q, e por
este motivo, necessrio realizar o desacoplamento para que os sistemas se tornem
independentes. Para isto, em 85(a) realizada a realimentao direta de Ed e para 85(b)
necessrio realizar a realimentao direta de -LILd. Assim, as equaes que regem as
variaes da corrente na rede pelas variaes na tenso do capacitor so dadas a seguir, para
os eixos d e q.
Givd =
ILD
1
=
VCd sL + r
Givq =
ILq
VCq
1
sL + r
(86)
(87)
C . dVCq = .C .VC + IL .d IL
d
b 2q
q
dt
(88)
(89)
144
Gvd d2 d =
VCd ILb
=
d2d s.C
Gvq d 2 q =
VCq
d2q
ILb
s.C
(90)
(91)
Gid d2 d =
Id
ILb
= 2
d2 d s .L.C + r.s.C + 1
Giq d 2 q =
Iq
d2q
ILb
s .L.C + r.s.C + 1
2
(92)
(93)
145
Buck-Boost Tri-State
Sp
S1
S2
S3
S4
S5
S6
Lac
Vac
Vin
LB
Cac
Fonte: Prprio autor.
Os trs estados de operao deste inversor podem ser visualizados atravs da Figura
120, considerando-se apenas a alimentao de uma fase. O estado de curto-circuito
responsvel pela carga do indutor Buck-Boost; o estado ativo se encarrega de alimentar a
carga e o filtro de sada enquanto que o estado de roda-livre responsvel pela manuteno da
energia sobre o indutor. O intervalo de curto-circuito dura D1.T; o estado ativo ocorre durante
D2.T e o estado de roda-livre se estende durante (1-D1-D2).T. T representa o perodo de
chaveamento e o modo de operao baseado no modo de conduo contnua (MCC).
Igualmente ao inversor Boost, o intervalo de tempo D2.T se divide em Ta e Tb, que so os
intervalos de tempo de envio de energia para a sada. Estes representam os vetores adjacentes
de cada setor da modulao espacial. A modulao aplicada a este inversor a mesma do item
5.1. A diferena principal se concentra no modulador de distribuio de pulsos que permite o
correto acionamento das chaves. Para este inversor, interessante distribuir igualmente o
tempo de mantm entre as chaves da ponte CSI a fim de equalizar as perdas e a distribuio
de calor. A Tabela 9 apresenta o padro de pulsos de chaveamento considerando a mesma
palavra de 6 bits de entrada usada para exemplificar o chaveamento do inversor Boost.
146
Lac
S1
Vac
Lac
S1
Vac
Lac
Vin
Lb
Lb
Cac
S5
Cac
Lb
Cac
S4
Entradas
Sadas
Sec2
Sec1
Sec0
Ta
Tb
Sp
S1
S2
S3
S4
S5
S6
Vac
147
(94)
I&LB 0
& =
Vo D2
Cac
D2
D1
LB I LB LB
. +
V
0 o 0
0 V
in
.
1 I o
Cac
(95)
Io
D2
G=
Vo
3.VLinha
D2
Vin
D1
D2
(96)
(97)
148
Dnull=0,15
Dnull=0,45
25
Dnull=0,3
20
Dnull=0
Dnull=0,6
15
10
Convencional
0
0
0,1
0,2
0,3
0,4
0,5
0,6
0,7
0,8
0,9
Razo Cclica D1
Atravs de (97) verifica-se que quando D2 tende a zero o ganho tende ao infinito e
conseqentemente por (96) a corrente no indutor tambm tende ao infinito. Assim, verifica-se
que neste conversor o ganho de tenso est relacionado diretamente ao ganho de corrente que
ocorre no indutor Buck-Boost. Contudo, as perdas na resistncia do indutor levam a uma
reduo efetiva na corrente neste indutor e conseqentemente no ganho de tenso prtico.
Incluindo a resistncia srie do indutor no modelo em espao de estados mdio, tem-se:
LB .I&LB = (Vin RLB .I LB ).D1 + ( Vo RLB .I LB ).D2 + 0.(1 D1 D2 )
(98)
C ac .V&o = I o + I LB .D2
(99)
149
RLB
I&LB
LB
& =
Vo D2
Cac
D2
( D1 + D2 )
LB I LB
LB
. +
V
0
0 o
0 V
in
.
1 I o
Cac
(100)
Vo
Vin
D1
=
D2 +
RLB
1
.
RO D
2
(101)
150
Sp
Lb
S1
S2
S3
S4
S5
S6
Lac
Vac
Cac
Fonte: Prprio autor.
Para o clculo dos valores eficazes e mdios das correntes nos semicondutores
necessrio o clculo das grandezas em um perodo de chaveamento e posteriormente seu
clculo no perodo de rede, isto para as chaves S1 S6. Sp opera somente no perodo de
chaveamento. Assim para a chave S1 tem-se:
IS1avg _ T =
IS1avg _ f rede
1
=
2
IS1rms _ f rede =
I LB .dt = I LB .(1 D1 )
(102)
I LB .(1 D1 ).d (t ) =
1
IS1rms _ T =
T
1
2
(1 D1 ).T
1
T
(1 D1 ).T
(
3
I LB .(1 D1 )
3
I LB 2 .dt = I LB . (1 D1 )
(103)
(104)
I LB .(1 D1 )
) .d (t ) =
2
I LB . (1 D1 )
(105)
As grandezas calculadas para S1 valem para todas as chaves da ponte CSI, apesar do
formato das correntes que fluem pelas chaves S4 S6 diferirem, seus valores eficazes e
mdios no. Isto garantido pela modulao que faz uma diviso igualitria de esforos. Para
Sp tem-se:
1
IS p avg =
T
1
IS p rms =
T
( D1 ).T
I LB .dt = I LB .D1
(106)
( I LB )2 .dt = I LB . D1
(107)
D1 .T
O grfico da curva de eficincia, supondo uma potncia mxima de 2,5kW com tenso
de entrada em 100V, para diferentes semicondutores, apresentado na Figura 125.
151
Rendimento (%)
VSI+Lac
96
Rendimento(%)
95
94
93
92
CSI
91
90
89
88
87
500
MOSFET SPW47N60C3 +
SCHOTTKY Diode
IDH08S60
1000
VSI + LCLac
1500
Potncia(W)
2000
2500
152
Vin
I LB
1
rLB + sLB
Vo
Io
1
sC o
d1
Cte
d2
Malha de Tenso
153
Pin =
Pout
(108)
D1.ILB .Vin =
I p .V p
(109)
2.
Para manter a corrente ILB constante, a corrente injetada na rede e a razo cclica devem
variar. Assim:
ILB =
I p .V p
(110)
D1 .2. .Vin
VLB
d
s.L . IL B
= LB ILB D1 = B
dt
Vin
(111)
IL B
Ip
Vp
2 ILB
1
sLB
(112)
Nesta seo so apresentados alguns resultados de simulao para o inversor BuckBoost Tri-State. Inicialmente este inversor alimenta uma carga local e um degrau de potncia
aplicado ao sistema. Na sequncia, o mesmo testado injetando potncia ativa na rede. As
principais formas de onda para estes cenrios so apresentadas na sequncia.
154
155
Vout(V)
Vout(V)
Figura 132 - Deslocamento angular entre as tenses da fase "a" do capacitor e da rede.
156
157
Correntes(A)
158
Figura 136 - Modelo de Simulao incluindo o Painel Fotovoltaico.
Discrete,
Ts = 1e-006 s.
pow ergui
[A]
SinCos1
In2
Id irede
Vref _cap_d
Irede1
In1
Iq irede1
Vrede_d
In1
22
In2
Vd,v q
Vbeta
v beta
Mod1
|V|
Vdref ,Vqref
transformada dq
em alfa_beta
Transf. abc em dq
In2
Irede
Vd_cap
In2
-C-
C2 -C-
IGBT
Ipv
vpv
Mtodo_P&O
Lrede
1
[C]
Gate
Out1
In1
In1
Vac
[B]
Conn1
Aux
Lin
B
Conn2
C
A
Ponte CSI
C
Lconex
Cf
In3
Out3
Medies_Inversor
Vac2
Conn3
1
Iin
Out2
In2
In3
Vac1
C pv
Vrede
Vpv
ponte
Cs
To Workspace2
Iref
vgrid
Modelo_PV
To Workspace1
Vcaps
SinCos4
Medies_PV
vcaps
Ipv
Ct3
Clock
Vq_cap
In1
[A]
tempo
irede
To Workspace
Sincos
[B]
3
Scope
Vpv
Aux
Modulao SVM
CSI Boost1
ref_Irede_q
Controle_Po
[C]
ponte1
aux
Controle_VC_com
foward_rede
Rede
Vout C fs
Vpv
Mod Angulo
Vcap_q
Vd_rede
Controle_Irede
In2
ref_IL
Out1
Angulo
sin cos2
ref _q
1
ILin1
Ang2
Vcap_d
Vref _cap_q
SinCos5
v alf a
[A]
SinCos
ref _d
[A]
Valf a
Ref _q_cap
Vq_irede
Sin Cos
Ref _d_cap
Vd_irede
Subsystem1
To Workspace3
159
160
Os bits de entrada do FPGA, (D, Ta e Tb) operam de forma a indicar o tempo em que o
inversor deve permanecer nos estados de curto, de transferncia e de manuteno de energia
(mantm). Estas entradas operam na frequncia de chaveamento do inversor (20kHz). A
entrada para o FGPA apresentada na Figura 140 e a Tabela 10 apresenta a codificao para
o acionamento de cada estado. O acionamento dos pulsos de gate realizado conforme a
Tabela 9. Finalmente, um detalhe experimental da modulao em alta frequncia
apresentado na Figura 141.
161
Sada
Ta
Tb
Estados
Curto
Tempo Ta
Tempo Tb
Mantm
162
163
e a rede eltrica. A potncia mxima testada foi da ordem de 2kW, usando uma associao de
10 painis solares de 200W, na configurao de 2 ramos paralelos com 5 painis em srie.
Nesta potncia mxima testada, a potncia de pico ocorre para a tenso de 135V com 15A. A
Tabela 11 resume os dados desta associao, que se baseia na adaptao dos dados da Tabela
1.
Potncia Mxima
Tenso no MPP
VMPP = 134,5V
Corrente no MPP
IMPP = 15,26A
Voc= 166V
Corrente de Curto-Circuito
Isc = 16,72A
Fonte: Prprio autor.
A Figura 143, a Figura 144 e a Figura 145 apresentam as formas de onda da corrente no
indutor Buck-Boost, juntamente com a tenso de sada e com as correntes em duas fases da
carga. A Figura 143 refere-se ao conversor operando com potncia de sada em torno de
950W, j a Figura 144 refere-se operao com potncia de sada em aproximadamente
1400W e na Figura 145 verifica-se a operao com a potncia de sada em torno de 1700W.
Para realizar as aquisies foi utilizado o osciloscpio Infiniium MSO8064A juntamente com
trs ponteiras isoladas de corrente e de 1 ponteira no isolada de baixa tenso. Devido ao uso
da ponteira no isolada de baixa tenso disponvel a medio da tenso de sada foi feita
diretamente no sensor isolado da LEM (LV-25P).
164
Figura 143 - Principais formas de onda para o inversor Buck-Boost - 967W. Ciano: Corrente no indutor
(5A/div); Amarelo: Tenso na fase "a" (medida no sensor LEM - 4,7V representam 180 Vpico); Verde: Corrente
na fase "a" (5A/div) e Vermelho: Corrente na fase "c" (5A/div). Tempo: 10ms/div.
Figura 144 - Principais formas de onda para o inversor Buck-Boost - 1445W. Ciano: Corrente no indutor
(5A/div); Amarelo: Tenso na fase "a" (medida no sensor LEM - 4,7V representam 180 Vpico); Verde: Corrente
na fase "a" (5A/div) e Vermelho: Corrente na fase "b" (5A/div). Tempo: (10ms/div).
165
Figura 145 - Principais formas de onda para o inversor Buck-Boost - 1722W. Ciano: Corrente no indutor
(5A/div); Amarelo: Tenso na fase "a" (medida no sensor LEM - 4,7V representam 180 Vpico); Verde: Corrente
na fase "a" (5A/div) e Vermelho: Corrente na fase "b" (5A/div). Tempo: (10ms/div).
166
Figura 146 - Formas de onda para as correntes trifsicas de sada do inversor Buck-Boost. Verde: Corrente na
fase "a" (2A/div), Vermelho: Corrente na fase "b" (2A/div) e Rosa: Corrente na fase "c" (2A/div) Tempo:
(10ms/div). (a) at (f): potncia de sada aproximada em watts.
(a) 600W
(b) 800
(c) 950W
(d) 1220W
(e) 1580W
(f) 1780W
167
168
169
170
O sistema para a medio da eficincia do inversor tambm foi baseado no Fluke 435 II.
A Figura 154 apresenta o grfico da avaliao do rendimento experimental, considerando a
potncia de entrada como parmetro. Para potncias de at 1kW o inversor tem eficincia
elevada, sendo acima de 94%. Para a potncia de 1,5kW, este apresenta eficincia de 92,5%.
Para a potncia de entrada de aproximadamente 2kW este apresenta eficincia de 89%. As
eficincias para alguns pontos deste grfico so apresentadas na Figura 155. Para a potncia
de entrada de aproximadamente 2kW (1780W de sada) mostra-se a interface grfica do
emulador de painis solares (TerraSAS), na Figura 156.
Eficincia (%)
Figura 154 - Curva da eficincia experimental do inversor. Em vermelho: destaque para as medies
apresentadas na Fig. 149.
171
172
173
Figura 159 - Sincronizao do inversor instantes antes da conexao rede. (a) Vermelho: Tenso da rede da fase
"a"; Rosa: Tenso no capacitor AC da fase "a".(b) Vermelho: Tenso da rede da fase "a"; Rosa: Tenso no
capacitor AC da fase "a"; Azul: Corrente no indutor de entrada e Amarelo: Corrente na Carga Local.
(a)
(b)
A Figura 160 apresenta as formas de onda de corrente injetadas na rede, para as fases
"a", "b" e "c", e, mostra tambm a tenso na fase "a" da rede em sincronismo com a corrente
da fase "a". Na Figura 161 mostram-se algumas destas formas de onda com menor escala de
tempo para melhor visualizao.
174
Figura 160 - Injeo de potncia na rede. Correntes nas fases "a", "b" e "c". Fase "a" em verde, fase "b" em
vermelho e fase "c" em rosa. Tenso na rede em amarelo. (600W at 1300W).
175
176
Figura 162 - Deslocamento angular entre as formas de onda da tenso do capacitor da fase "a" em verde e da
rede para a fase "a" em amarelo.
Com auxlio do medidor Fluke 435 II foi possvel medir o fator de potncia da
estrutura e a distoro harmnica total da corrente injetada na rede. Estes dados esto
apresentados na sequncia. O inversor apresenta fator de potncia unitrio para potncias
injetadas na rede acima de 600W, confirmando que existe o perfeito casamento entre a tenso
da rede e a corrente injetada, com deslocamento entre estas formas de onda praticamente nulo.
A distoro harmnica total da corrente injetada de 2,9% para a potncia de 1300W.
177
Figura 164 - Medio do fator de potncia (a) e da taxa de distoro harmnica (b), para a potncia de 740W.
(a)
(b)
Fonte: Prprio autor utilizando o sistema Fluke 435 II.
Figura 165 - Medio do fator de potncia (a) e da taxa de distoro harmnica (b), para a potncia de 1kW.
(a)
(b)
Fonte: Prprio autor utilizando o sistema Fluke 435 II.
Figura 166 - Medio do fator de potncia (a) e da taxa de distoro harmnica (b), para a potncia de 1,3kW.
(a)
(b)
Fonte: Prprio autor utilizando o sistema Fluke 435 II.
178
5.9 Concluses
179
Captulo 6
Concluses Gerais e Trabalhos Futuros
180
implementado para realizar sua tarefa com mxima eficincia. No caso dos conversores
integrados, o conversor deve realizar a elevao e inverso da tenso de forma a injetar
corrente senoidal na rede, buscando tambm o MPP, reduzindo o desempenho dos algoritmos
e resultando na necessidade de um compromisso entre os objetivos principais para a
aplicao. Adicionalmente, o desacoplamento de potncia deve ser realizado com um
capacitor em paralelo com o PV, de grande valor capacitivo, porm, de baixa tenso.
O prvio estudo das topologias monofsicas direcionou a escolha do inversor BuckBoost integrado de 3 estados, isto em virtude da excelente forma de onda de corrente injetada
na rede, com reduzido contedo harmnico; possibilidade de controle em modo tenso e bom
fator de rastreamento. Adicionalmente, com a tcnica de 3 estados (Tri-State), o
desacoplamento indutivo, eliminando-se a necessidade do elevado valor de capacitncia em
paralelo com os PVs e possibilita ainda o controle independente entre entrada e sada;
contudo, resultando em maior volume para o elemento indutivo. Entretanto, h de se observar
que a vida mdia (til) dos conversores chaveados fortemente influenciada pela vida til dos
elementos capacitivos, e, portanto, estima-se que a vida til seja maior para estruturas com
menores necessidades de elementos capacitivos.
Os inversores trifsicos tri-state, quando modulados e controlados adequadamente,
apresentam caractersticas interessantes para a conexo aos painis solares, citando controle
independente entre entrada e sada, reduo do volume dos elementos armazenadores de
energia e aumento da densidade de potncia, isto quando comparado ao caso dos inversores
integrados monofsicos. Com relao topologia VSI, que a soluo mais utilizada, o
inversor Buck-Boost Tri-State se apresenta como concorrente para potncias de sada na faixa
de 1 1,5kW. Isto decorre do seu bom rendimento para mdias potncias, onde normalmente
uma associao srie de paneis no produzir tenso suficiente para alimentar um invesor
VSI sem um conversor elevador associado, que reduzir a eficincia global do sistema.
Ainda, a associao srie dos diodos com as chaves da ponte CSI a fim de prover
bloqueio de tenses reversas traduz em maior custo e de dificuldades de montagem para este
inversor trifsico. Atualmente, os RB-IGBTs no permitem a operao na faixa de frequncia
que a aplicao necessita. No entanto, estes semicondutores tendem a evoluir e se apresentar
como soluo para a montagem da ponte CSI. Outra dificuldade para montagem em srie
deste tipo de inversor que no existem circuitos de ataque de gate especficos para
inversores CSIs e sua modulao tambm no convencional, devido insero e de
equalizao dos tempos de mantm.
181
Este conversor pode evoluir com tcnicas de controle mais sofisticadas como a de
realimentao de estados via desigualdades lineares matricias ou at mesmo a utilizao de
controladores mais robustos com a adio de incertezas. Ainda, este inversor pode ser
preparado para o controle simultneo de potncia ativa e reativa. Uma outra possibilidade
interessante a inserco de outro caminho paralelo, com a adio de mais uma chave e de
mais um indutor de forma a fazer a interface com outra fonte de energia alternativa, tal como
uma clula combustvel ou um gerador elico. Ainda, estas chaves podem operar em
entrelaamento, diminuindo o ripple de corrente para a ponte trifsica CSI.
Finalmente, destaca-se que a busca por solues cada vez mais eficientes e que
produzam menor impacto ambiental para realizar a interface entre as fontes alternativas de
energia e a rede de distribuio de eltrica fomentar as pesquisas nos prximos anos.
182
Referncias
ALONSO, R.; IBANEZ, P.; MARTINEZ, V.; ROMEN, E.; SANZ, A. An innovative perturb, observe and check
algorith for partially shaded PV systems. In: EPE CONFERENCE, 13., 2009, Barcelona. Proceedings...
Barcelona: IEEE, 2009. p. 1-8.
AGNCIA NACIONAL DE ENERGIA ELTRICA - ANEEL. Energia solar. [S.l:s.n], 2003. Disponvel em:
<http://www.aneel.gov.br/aplicacoes/atlas/pdf/ >. Acessado em: 10 Maio 2009.
BALAGUER, I. J.; KIM, H.; PENG, F. Z.; ORTIZ, E. I. Survey of photovoltaic power island detection methods.
In: INDUSTRIAL ELECTRONICS CONFERENCE - IECON, 34., 2008, Orlando. Proceedings Orlando:
IEEE, 2008. p. 2247-2252.
BARBI, I. Eletrnica de potncia: projeto de fontes chaveadas. Florianpolis: Editora do Autor, 2001, 250p.
BOWER, W. Evaluation of islanding detections methods for photovoltaic utility-interactive power systems.
[S.l:s.n], 2002. Disponvel em: < http://prod.sandia.gov/techlib/access-control.cgi>. Acessado em: 10 maio 2009.
BOLOGNANI, S.; ZIGLIOTTO, M. A space-vector approach to the analysis and design of three-phase current
controllers. In: IEEE INTERNATIONAL SYMPOSIUM ON INDUSTRIAL ELECTRONICS, 20., 2002,
L'Auila. Proceedings... L'Aquila: IEEE, 2002, p. 645-650.
BRITO, M. A. G.; SAMPAIO, L. P.; JUNIOR, L. G; CANESIN, C. A. Inversor CS boost monofsico em
aplicaes com fontes renovveis. In: INTERNATIONAL CONFERENCE ON INDUSTRY APPLICATIONS INDUSCON, 9., 2010, So Paulo. Anais... So Paulo: IEEE, 2010. p. 1-6.
BRITO, M. A. G.; SAMPAIO, L. P.; GALOTTO, Jr. L.; GODOY, R. B.; CANESIN, C. A. New integrated zeta
and cuk inverters intended for stand-alone and grid-connected applications. In: BRAZILIAN POWER
ELECTRONICS CONFERENCE - COBEP, 11., 2011, Natal. Proceedings... Natal: IEEE, 2010. p. 1-7.
BRITO, M. A. G.; GALOTTO JUNIOR, L.; SAMPAIO, L. P.; CANESIN, C. A. Tri-State single-phase
integrated inverters with input to output power decoupling control. In: INTERNATIONAL SYMPOSIUM ON
POWER ELECTRONICS, ELECTRICAL DRIVES, AUTOMATION AND MOTION - SPEEDAM, 22., 2012,
Sorrento. Proceedings... Sorrento: IEEE, 2012. p. 1-6
BRITO, M. A. G.; CANESIN, C. A. Three-phase tri-state integrated solar inverter. In: IEEE ENERGYCON
CONFERENCE & EXHIBITION, 2., 2012, Florena. Proceedings... Florena: IEEE, 2012. p. 1-6.
BRITO, M. A. G.; CANESIN, C. A. Three-phase tri-state buck-boost integrated inverter. In: INTERNATIONAL
CONFERENCE ON CLEAN ELECTRICAL POWER - ICCEP, 4., 2013, Alghero. Proceedings... Alghero:
IEEE, 2013. p. 1-6.
CARDOSO, R.; CAMARGO, R. F. de; PINHEIRO, H.; GRNDLING, H. A. Kalman filter based
synchronization methods. In: POWER ELECTRONICS SPECIALISTS CONFERENCE, 37., 2006, Jeju.
Proceedings Jeju: IEEE, 2006. p. 1-7.
CASADEI, D.; GRANDI, G.; ROSSI, C. Single-phase single-stage photovoltaic generation system based on a
ripple correlation control maximum power point tracking. IEEE Transactions on Energy Conversion, New
York, v. 21, n.2, p. 562-568, 2006.
CASARO, M. M.; MARTINS, D. C. Modelo de Arranjo Fotovoltaico Destinado a Anlises em Eletrnica de
Potncia via Simulao. Eletrnica de Potncia, Florianpolis, v. 13, n. 3, p. 141-146, 2008.
183
CHA, H.; LEE, S. Design and implementation of photovoltaic power conditioning system using a current based
maximum power point tracking. In: INDUSTRY APPLICATIONS SOCIETY ANNUAL MEETING - IAS, 43.,
2008, Edmonton. Proceedings Edmonton: IEEE, 2008. p. 1-5.
CHIN, C. S.; NEELAKANTAN, P.; YOONG, H. P.; TEO, K. T. K. Maximum power point tracking for PV
array under partially shadded conditions. In: CICSyn, 3., Bali. Proceedings... Bali: IEEE, 2011. p. 1-6.
CHOE, G.; KIM, H.; KIM, H; CHOI, Y.; KIM, C. The characteristic analysis of grid frequency variation under
islanding mode for utility interactive PV system with reactive power variation scheme for anti-island. In:
POWER ELECTRONICS SPECIALISTS CONFERENCE - PESC, 37., 2006, Jeju. Proceedings Jeju: IEEE,
2006. p. 1-5.
CHOI, J. W.; KIM, Y.K; KIM, H. G. Digital PLL control for single-phase photovoltaic system. IEE Electric
Power Applications, United Kingdom, v. 153, n. 1, p. 40-46, 2006.
CIOBOTARU, M.; AGELIDIS, V.; TEODORESCU, R. Accurate and less-disturbing active anti-island method
based on PLL for grid-connected PV inverters. In: POWER ELECTRONICS SPECIALISTS CONFERENCE PESC, 39., 2008, Rhodes. Proceedings Rhodes: IEEE, 2008. p. 4569-4576.
COELHO, R. F.; CONCER, F. M.; MARTINS, D. C. Martins. A MPPT approach based on temperature
measurements applied in PV systems. In: INTERNATIONAL CONFERENCE ON SUSTAINABLE ENERGY
TECHNOLOGIES - ICSET, 2, 2010, Kandi. Proceedings... Kandi: IEEE, 2010, p. 1-6.
COLLI, D. V.; CANCELLIERE, P.; MARIGNETTI, F.; DI STEFANO, R. Voltage control of current source
inverters. IEEE Transactions on Energy Conversion, New York, v. 21, n. 2, 2006, p. 451-458.
CENTO DE REFERNCIA PARA ENERGIA SOLAR E ELICA SRGIO DE SALVO BRITO - CRESESB,
CENTRO DE PESQUISAS DE ENERGIA ELTRICA - CEPEL. Energia solar princpios e aplicaes.
[S.l:s.n], 2000. Disponvel em: <http://www.crescesb.cepel.br/tutorial/>. Acessado em: 10 maio 2009.
DESAI, H. P.; PATEL, H. K. Maximum point algorithm in PV generation: An overview. In:
INTERNATIONAL CONFERENCE ON POWER ELECTRONICS AND DRIVE SYSTEMS - PEDS, 7., 2007,
Bangkok. Proceedings Bangkok: IEEE, 2007. p. 624-630.
ERICKSON, R. W.; MAKSIMOVIC, D. Fundamentals of Power Electronics. 2. ed. New York: Springer,
2001. 912p.
ESRAM, T,; CHAPMAN, P. L. Comparison of photovoltaic array maximum power point tracking techniques.
IEEE Transactions on Energy Conversion, New York, v.24, n.2, p. 439-449, 2007.
ENSLIN, J, H. R.; WOLF, M. S.; SNYMAN, D. B.; SWIEGERS, W. Integrated photovoltaic maximum power
point tracking converter. IEEE Transactions on Industrial Electronics, New York, v. 44, n. 6, p. 769-773,
1997.
FARANDA, R.; LEVA, S.; MAUGERI, V. MPPT techniques for PV systems: Energetic and cost comparison.
In: POWER AND ENERGY SOCIETY GENERAL MEETING - PESGM, 9., 2008, Pittsburgh. Proceedings
Pittsburgh: IEEE, 2008. p. 1-6.
GALOTTO JUNIOR, L.; BRITO, M. A. G.; SAMPAIO, L. P.; CANESIN, C. A. Integrated single-stage
converters with tri-state modulation suitable for photovoltaic systems. In: BRAZILIAN POWER
ELECTRONICS CONFERENCE, 11., 2011, Natal. Proceedings... Natal: IEEE, 2011. p. 1-7.
HIYAMA, T.; KOUZUMA, S.; IMAKUBO, T. Identification of optimal operating point of PV modules using
neural network for real time maximum power tracking control. IEEE Transactions on Energy Conversion,
New York, v. 10, n. 2, p. 360-367, 1995.
HO, B. M. T.; CHUNG, H. S. H.; LO, W.L. Use of system oscillation to locate the MPP of PV panels. IEEE
Power Electronics Letters, New York, v.2, n.1, p. 1-5, 2004.
184
185
LISERRE, M.; SAUTER, T.; HUNG, Y. J. Future Energy Systems - Integrating renewable energy sources into
the smart power grid through industrial electronics. IEEE Industrial Electronics, New York, v.4, n.1, p.18-37,
2010.
LOH, P. C.; BLAABJERG, F.; WONG, C. P.; TAN, P. C. Tri-State current source inverter with improved
dynamic performance, IEEE Transactions on Power Electronics, New York, v. 23, n. 4, p. 1631-1640, 2008.
LOPES, L. A. C.; HUILI, S. Performance assessment of active frequency drifting islanding detection methods.
IEEE Transactions on Energy Conversion, New York, v. 21, n. 1, p. 171-180, 2006.
MAHAT, P.; CHEN, Z.; BAK-JENSEN, B. Review of island detection methods for distributed generation. In:
ELECTRIC UTILITY DEREGULATION AND RESTRUCTURING AND POWER TECHNOLOGIES DPRT, 30., 2008, Nanjuing. Proceedings Nanjuing: IEEE, 2008. p. 2743-2748.
MARTINS, F. R.; RTHER, E. B.; ABREU, S. L. Solar Energy Scenarios in Brazil. Energy Policy, Holland, v.
36, n. 8, p. 2855-2867, 2009.
MCGRATH, B. P.; HOLMES, D. G.; GALLOWAY, J. J. H.; Power Converter Line Synchronization Using a
Discrete Fourier Transform (DFT) Based on a Variable Sample Rate. IEEE Transactions on Power
Electronics, New York, v. 20, n. 4, p. 877-884, 2005.
NIANCHUN, W.; QINGSHAN, X.; BIN, S; YUKITA, K.; GOTO, Y.; ICHIYANAGI. Research os single-phase
inverter for PV modules with MPPT. IEEE Industrial Electronics, New York, v.4, n.1, p.18-37, 2010.
NOGUCHI, T.; TOGASHI, S.; NAKAMOTO, R. Short-current pulse-based maximum-power-point tracking
method for multiple photovoltaic-and-converter module system. IEEE Transactions on Industrial Electronics,
New York, v. 49, n. 1, p. 217-223, 2002.
OGATA, K. Engenharia de controle moderno. 3. ed. So Paulo: LTC, 1998, 745 p.
PDUA, M. S.; DECKMANN, S. M.; LOPES, A.; MARAFO, F. P.; MOREIRA, A. C. Metodologia para
identificao do componente fundamental da tenso da rede baseada no algoritmo recursivo da TDF. Revista
Controle & Automao, So Jos dos Campos, v. 18, n. 3, p. 381-396, 2007.
PDUA, M. S.; DECKMANN, S. M.; SPERANDIO. G. S.; MARAFO, F. P.; COLON, D. Comparative
analysis of synchronization algorithms based on PLL, RDFT and kalman filter. In: INTERNATIONAL
SYMPOSIUM ON INDUSTRIAL ELECTRONICS - ISIE, 30., 2008, Vigo. Proceedings Vigo: IEEE, 2007.
p. 964-970.
PANDEY, A; DASGUPTA, N.; MUKERJEE, A. K. A simple single-sensor MPPT solution. IEEE
Transactions on Power Electronics, New York, v. 22, n. 6, p. 698700, 2007.
PARK, M; YU, I. Study on the optimal voltage for MPPT obtained by surface temperature of solar cell. In:
INDUSTRIAL ELECTRONICS CONFERENCE - IECON, 30., 2004, South Korea. Proceedings... South
Korea: IEEE, 2004, p. 2040-2045.
PINHEIRO, A. P. B. Energias renovveis: tipos e aplicaes. [S.l:s.n], 2007. Disponvel em:
<http://www.nteditorial.com.br/revista/Materias/index.asp?RevistaID1>. Acessado em: 10 maio 2009.
RASHID, M. H. (2001). Power electronics handbook. Canada: Academic Press, 2010. 895 p.
RAZA, H. S. M.; GOTO, H.; ICHINOKURA, O.; GUO, H. An improved and very efficient mppt controller for
pv systems subjected to rapidly varying atmospheric conditions and partial shading. In: AUSTRALASIAN
UNIVERSITIES POWER ENGINEERING CONFERENCE - AUPEC, 20., 2009, Adelaide.
Proceedings... Adelaide: IEEE, 2009. p.1-6.
ROPP, M.; LARSON, D.; MEENDERING, S.; MCMAHON, D.; GINN, J.; STEVENS, J.; BOWER, W.;
GONZALES, S.; FENNELL, K.; BRUSSEAU, L. Discussion of a power line carrier communications- based
anti-islanding scheme using a commercial automatic meter reading system. In: PHOTOVOLTAIC ENERGY
CONVERSION - PVEC, 4., 2006, Waikoloa. Proceedings... Waikoloa: IEEE, 2006. p. 2351-2354.
186
SABLE, D. M.; CHO, B. H.; RIDLEY, R. B. Use of leading-edge modulation to transform boost and flyback
converters into minimum-phase-zero systems. IEEE Transactions on Power Electronics, New York, v. 6, n.4,
p. 704711, 1991.
SALAMONI, I. T.; RTHER, R. Potencial brasileiro da gerao solar fotovoltaica conectada rede eltrica:
anlise de paridade de rede. In: ENCONTRO NACIONAL E V LATINO AMERICANO DE CONFORTO NO
AMBIENTE CONSTRUDO - ENCAC, 9., 2007, Ouro Preto. Proceedings... Ouro Preto: ENCAC, 2007. p. 110.
SANTOS FILHO, R. M.; SEIXAS, P. F.; CORTIZO, P. C.; TORRES, L. A. B. SOUZA, A. F. Comparison of
three single-phase PLL algorithms for UPS applications. IEEE Transactions on Industrial Electronics, New
York, v. 55, n. 8, p. 2923-2932, 2008.
SAMPAIO, L. P.; BRITO, M. A. G; JUNIOR, L. G.; CANESIN, C. A. Inversor boost monofsico, como
alternativa de baixo custo e alta densidade de potncia para fontes alternativas de energia. In: CONGRESSO
BRASILEIRO DE AUTOMTICA - CBA, 18., 2010, Bonito. Proceedings... Bonito: IEEE, 2010. p. 36863693.
SILVA, S. M.; LOPES, B. M.; CARDOSO FILHO, B. J.; CAMPANA, R. P.; BOAVENTURA, W. C.
Performance evaluation of PLL algorithms for single-phase grid-connected systems. In: IAS ANNUAL
MEETING, 39., 2004, Seatle. Proceedings... Seatle: IEE, 2004. p. 2259 2263.
SIMOES, M. G.; FRANCESCHETTI, N. N.; FRIEDHOFER, M.; A Fuzzy logic based photovoltaic peak power
tracking control. In: INTERNATIONAL SYMPOSIUM ON INDUSTRIAL ELECTRONICS - ISIE, 1998,
Pretoria. Proceedings Piscataway: IEE, 1998. p. 300-305.
SPAGNUOLO, G.; PETRONE, G.; ARAUJO, S. V.; CECATI, C. Renewable energy operation and conversion
schemes. IEEE Industrial Electronics, New York, v.4, n.1, p.38-51, 2010.
TEULINGS, W. J. A.; MARPINARD, J. C.; CAPEL, A.; OSULLIVAN, D. A new maximum power point
tracking system. In: POWER ELECTRONICS SPECIALISTS CONFERENCE - PESC, 24., 1993, Seattle.
Proceedings Seattle: IEEE, 1993. p. 833-838.
TIMBUS, A. V.; TEODORESCU, R.; BLAABJERG, F.; BORUP, U. Online grid measurement and ENS
detection for PV Inverter running on highly inductive grid. IEEE Power Electronics Letters, New York, v. 2,
n.3, p. 77-82, 2004.
VSQUEZ, N.; LPEZ, H.; HERNNDEZ, C.; RODRIGUEZ, E.; OROSCO, R.; ARAU, J. A grid connected
current source inverter. In: INTERNATIONAL CONFERENCE ON CLEAN ELECTRICAL POWER, 9., 2009,
Capri. Proceedings... Capri: IEEE, 2009. p. 439-442.
VILLALVA, M. G.;FILHO, E. R. Dynamic analysis of the input-controlled buck converter fed by a photovoltaic
array. Controle & Automao, So Paulo, v. 19, n. 4, p. 463-474, 2008.
VISWANATHAN, K.; ORUGANTI, R.; SRINIVASAN, D. A novel tri-state boost converter with fast
dynamics. IEEE Transactions On Power Electronics, New York, v. 17, n. 5, p. 677-683, 2002.
WALKER, R. G.; SERNIA, C. P. Cascaded DC-DC converter connection of photovoltaic modules. IEEE
Transactions on Power Electronics, New York, v. 19, n.4, p. 1130-1139, 2004.
WASYNEZUK, O. Dynamic behavior of a class of photovoltaic power systems. IEEE Transactions on Power
Apparatus and Systems, New York, v. 102, n. 9, p. 3031-3037, 1983.
WILAMOWSKI, B. M.; XIANGLI, L. Fuzzy system based maximum power point tracking for pv system. In:
INDUSTRIAL ELECTRONICS CONFERENCE - IECON, 28., 2002, Sevilla. Proceedings Piscataway:
IEEE, 1993. p. 3280-3284.
187
WOLFSEGGER, C.; STIERSTORFER, J. Solar generation IV: solar electricity for over one billion people and
two million jobs by 2020. [S.l:s.n], 2007. Disponvel em: < http://www.photovoltaique.info>. Acessado em: 10
maio 2009.
XIAOFENG, S.; WEIYANG, W.; QINGLIN, Z. A Research on photovoltaic energy controlling system with
maximum power point tracking. In: POWER CONVERSION CONFERENCE - PCC, 2002, Osaka.
Proceedings Osaka: IEEE, 2002. p. 822-826.
XIAOYU, W.; FREITAS, W.; WILSUN, X.; DINAVAHI, V. Impact of DG interface controls on the sandia
frequency shift antiislanding method. IEEE Transactions on Energy Conversion, New York, v. 22, n. 3, p.
792-794, 2007.
YU, G. J.; JUNG, Y. S.; CHOI, I.; SONG, J. H. A novel two-mode MPPT control algorithm based on
comparative study of existing algorithms. In: PHOTOVOLTAIC SPECIALISTS CONFERENCE - PVCS, 29.,
2002, Louisiana. Proceedings Louisiana: IEEE, 2002. p. 1531-1534.
ZAIMEDDINE, R; UNDELAND, T. DTC control schemes for induction motor fed by three-level NPC-VSI
using space vector modulation. In: INTERNATIONAL SYMPOSIUM ON POWER ELECTRONICS,
ELECTRICAL DRIVES, AUTOMATION AND MOTION - SPEEDAM, 20., 2010, Pisa. Proceedings... Pisa:
IEEE, 2010, p. 966-971
ZHOU, K.; WANG, D. Relationship between space-vector modulation and three-phase carrier-based PWM: a
comprehensive analysis. IEEE Transactions on Industrial Electronics, New York, v. 49, n. 1, p. 186-196,
2002.
ZIOGAS, P. D.; MORAN, L.; JOOS, G.; VINCENTI, D. A refined PWM scheme for voltage and current source
converter. In: POWER ELECTRONICS SPECIALIST CONFERENCE - PESC, 21., 1990, Seatle.
Proceedings... Seatle: IEEE, 1990, p. 977983.
188
Apndice A
Produo de Artigos Cientficos
189
[9] JUNIOR, L. G.; BRITO, M. A. G.; SAMPAIO, L. P.; CANESIN, C. A. Evaluation of integrated
inverter topologies for low power pv systems. In: IEEE INTERNATIONAL CONFERENCE ON
CLEAN ELECTRICAL POWER - ICCEP, 3., 2011, Ischia. Proceedings... Ischia: IEEE, 2011 p.1-6.
2011.
[10] BRITO, M. A. G.; SAMPAIO, L. P. ; JUNIOR, L. G.; MELO, G. A.; CANESIN, C. A.
Comparative analysis of mppt techniques for pv applications. In: IEEE INTERNATIONAL
CONFERENCE ON CLEAN ELECTRICAL POWER - ICCEP, 4., 2011, Ischia. Proceedings...
Ischia: IEEE, 2011. p.1-6.
[11] SILVA, L. S. C.; SEIXAS, F. J. M.; BRITO, M. A. G. Bridgeless interleaved boost PFC
converter with variable duty cycle control. In: BRAZILIAN POWER ELECTRONICS
CONFERENCE - COBEP, 11., 2011, Natal. Proceedings... Natal: IEEE, 2011. p. 1-7.
[12] GALOTTO Jr., L.; BRITO, M. A. G.; SAMPAIO, L. P.; CANESIN, C. A. Integrated single-stage
converters with tri-state modulation suitable for photovoltaic systems. In: BRAZILIAN POWER
ELECTRONICS CONFERENCE - COBEP, 11., 2011, Natal. Proceedings... Natal: IEEE, 2011. p. 17.
[13] PEA, J. C. U.; BRITO, M. A. G.; CANESIN, C. A. A Comparative Study of MPPT strategies
and a novel single-phase integrated buck-boost inverter for small wind energy convertion systems. In:
BRAZILIAN POWER ELECTRONICS CONFERENCE - COBEP, 11., 2011, Natal. Proceedings...
Natal: IEEE, 2011. p. 1-6.
[14] FAZIO Jr. A.; CANESIN, C. A.; SAMPAIO, L. P.; BRITO, M. A. G.; MELO, G. A.
Comparative analysis for reactive energy measurement methodologies, under non-sinusoidal
conditions in three-phase four-wire circuits. In: BRAZILIAN POWER ELECTRONICS
CONFERENCE - COBEP, 11., 2011, Natal. Proceedings... Natal: IEEE, 2011. p. 1-6.
[15] BRITO, M. A. G.; GALOTTO, Jr. L.; SAMPAIO, L. P.; MELO, G. A.; CANESIN, C. A. Main
maximum power point tracking strategies intended for photovoltaics. In: BRAZILIAN POWER
ELECTRONICS CONFERENCE - COBEP, 11., 2011, Natal. Proceedings... Natal: IEEE, 2011. p. 17.
[16] BRITO, M. A. G.; SAMPAIO, L. P.; GALOTTO, Jr. L.; CANESIN, C. A. Research on
photovoltaics: review, trends and perspectives. In: BRAZILIAN POWER ELECTRONICS
CONFERENCE - COBEP, 11., 2011, Natal. Proceedings... Natal: IEEE, 2011. p. 1-6.
[17] BRITO, M. A. G.; SAMPAIO, L. P.; GALOTTO, Jr. L.; Godoy, R. B.; CANESIN, C. A. New
integrated zeta and cuk inverters intended for stand-alone and grid-connected applications. In:
BRAZILIAN POWER ELECTRONICS CONFERENCE - COBEP, 11., 2011, Natal. Proceedings...
Natal: IEEE, 2011. p. 1-7.
[18] SAMPAIO, L. P.; BRITO, M. A. G.; CANESIN, C. A. Computer tools to aid the learning and
design steps for photovoltaic systems In: BRAZILIAN POWER ELECTRONICS CONFERENCE COBEP, 11., 2011, Natal. Proceedings... Natal: IEEE, 2011. p. 1-6.
[19] SAMPAIO, L. P.; BRITO, M. A. G.; MELO, G. A.; CANESIN, C. A. Power electronics course:
analysis and evaluation of the educational software and the environment learning. In: BRAZILIAN
POWER ELECTRONICS CONFERENCE - COBEP, 11., 2011, Natal. Proceedings... Natal: IEEE,
2011. p. 1-6.
190
[20] GALOTTO Jr. L.; GODOY, R. B.; BRITO, M. A. G.; GARCIA, R. C.; KIMPARA, M. L. M.;
CANESIN, C. A. Tri-state space vector modulation for three-phase integrated inverters. In:
BRAZILIAN POWER ELECTRONICS CONFERENCE - COBEP, 11., 2011, Natal. Proceedings...
Natal: IEEE, 2011. p. 1-7.
[21] BRITO, M. A. G.; FERREIRA, C. S.; SAMPAIO, L. P.; CANESIN, C. A. Sistema automtico
para o controle eficiente de iluminao para mltiplas lampadas fluorescentes. CONTROLE &
AUTOMAO, Campinas, v. 23, n. 4, p. 439-452, 2012. (ainda resultante dos trabalhos de mestrado
com bolsa FAPESP).
[22] BRITO, M. A. G.; FERREIRA, C. S.; SAMPAIO, L. P.; CANESIN, C. A. Automatic dimming
multi-lamp fluorescent management system with active input PFC stage. IET POWER
ELECTRONICS, United Kingdon, v. 5, n. 4, p. 410-418, 2012. (ainda resultante dos trabalhos de
mestrado com bolsa FAPESP).
[23] BRITO, M. A. G.; GALOTTO, Jr. L.; SAMPAIO, L. P.; CANESIN, C. A. Tri-State single-phase
integrated inverters with input to output power decoupling control. In: INTERNATIONAL
SYMPOSIUM ON POWER ELECTRONICS, ELECTRICAL DRIVES, AUTOMATION AND
MOTION - SPEEDAM, 21., 2012, Sorrento. Proceedings... Sorrento: IEEE, 2012. p. 1-6.
[24] BRITO, M. A. G.; GALOTTO Jr. L.; CANESIN, C. A. Three-phase tri-state integrated boost
inverter with special space vector and dq0 control. In: INTERNATIONAL SYMPOSIUM ON
POWER ELECTRONICS, ELECTRICAL DRIVES, AUTOMATION AND MOTION - SPEEDAM,
21., 2012, Sorrento. Proceedings... Sorrento: IEEE, 2012. p. 1-6.
[25] BRITO, M. A. G.; CANESIN, C. A. Three-phase tri-state integrated solar inverter. In:
ENERGYCON CONFERENCE & EXHIBITION, 4., 2012, Florence. Proceedings... Florence:IEEE,
2012. p. 1-6.
[26] SAMPAIO, L. P; BRITO, M. A. G. de; ALVES, M. G.; MELO, G. A.; CANESIN, C. A. Robust
control applied to power flow control in single-phase inverter with LCL filter, using droop control and
D-stability. In: INTERNATIONAL CONFERENCE ON INDUSTRY APPLICATIONS INDUSCON, 18., 2012, Fortaleza. Conference... Fortaleza: IEEE, 2012. p. 1-8.
[27] MELO, G. A.; BRITO, M. A. G.; OLIVEIRA, N. R.; GONALVES, A. S. F.; CANESIN, C. A.
Sistema de trao eltrica flexvel baseado em veculos trlebus para alimentao com redes CC e ou
CA. CONTROLE & AUTOMAO, Campinas, v. 23, n. 5, p. 608-620, 2012.
[28] BRITO, M. A. G.; SAMPAIO, L. P.; MELO, G. A.; CANESIN, C. A. Contribuio ao estudo dos
principais algoritmos de extrao da mxima potncia dos painis fotovoltaicos. REVISTA
ELETRNICA DE POTNCIA, Florianpolis, v. 17, n. 3, p. 592-600, 2012.
[29] BRITO, M. A. G.; SAMPAIO, L. P.; GALOTTO, Jr. L.; MELO, G. A.; CANESIN, C. A.
Evaluation of the Main MPPT Techniques for Photovoltaic Applications. IEEE TRANSACTIONS
ON INDUSTRIAL ELECTRONICS (Special Session), New York, v. 60. n. 3, p. 1156-1167, 2013.
A.2 - Artigos Aceitos para Publicao
[30] BRITO, M. A. G.; CANESIN, C. A. Three-phase tri-state buck-boost integrated inverter. In:
INTERNATIONAL CONFERENCE ON CLEAN ELECTRICAL POWER - ICCEP, 4., 2013.
Alghero. Proceedings... Alghero: IEEE, 2013, p. 1-6.
191
[31] SAMPAIO, L. P; BRITO, M. A. G.; MELO, G. A.; CANESIN, C. A. Power flow control in
single and three-phases inverters using droop control and d-stability between distributed generator and
utility grid. In: EUROPEAN CONFERENCE ON POWER ELECTRONICS AND APPLICATION EPE, 13., 2013, Lile. Proceedings... Lile: IEEE, 2013. p. 1-5.
[32] MATHEUS, B, P.; BRITO, M. A. G.; CANESIN, C. A. Modelagem de lmpada fluorescente uv
em uma aplicao para fins de purificao de gua. REVISTA ELETRNICA DE POTNCIA,
Florianpolis, p. 1-8, 2013.
192
Apndice B
Projeto do Inversor Buck-Boost Tri-State Trifsico
Para que o inversor funcione como Tri-state necessrio garantir um tempo mnimo
de roda livre. Este tempo foi mantido em 0,1. Desta forma:
D1 + D2 = 0,9
(113)
D1 = 0,68
(114)
Io = 3,94 A
(115)
ILBmin = 18A
O indutor Buck-Boost calculado conforme (49):
(116)
193
LB =
Vin.D1
2, 5mH
fs.I
(117)
O resumo do projeto fsico do indutor Buck-Boost pode ser verificado na Tabela 12.
Indutor Ferrite
2 x NEE 75/50/25
Chapa 4HS-350
Bmax(T)
0,35
1,5
Irms(A)
20
20
Jcond(A/cm2)
450
450
LBesc(mH)
2,5
2,5
91
80
Ae.Awesc(cm )
111
84
Np (voltas)
110
74
Gap(mm)
3,9
3,4
Fio
AWG20
AWG20
Litz
1,2
0,53
1,34
1,6
2,54
2,13
11,6x7,6x5,1
8,75x10,5x2,5
Especificaes
Ae.Awcalc(cm4)
4
Io.(1 D2 )
6,8 F
fs.Vc
(118)
Lac 3mH
(119)
194
Indutor Ferrite
NEE 55/28/21
Chapa 4HS-200
Bmax (T)
0,35
1,2
Irms(A)
4,5
4,5
Jcond(A/cm2)
400
400
LBesc(mH)
Ae.Awcalc(cm4)
5,7
4,9
Ae.Awesc(cm4)
8,8
5,7
Np (voltas)
112
56
Gap(mm)
0,9
0,37
Fio
AWG20
AWG20
Litz
0,19
0,05
0,21
0,13
0,40
0,18
T(C)
42
25
5,6x5,5x2,1
5,0x6,0x1,3
Especificaes
195
Indutor Ferrite
NEE 65/33/26
Chapa 4HS-200
Bmax (T)
0,3
1,2
Irms(A)
6,5
6,5
Jcond(A/cm2)
400
400
LBesc(mH)
Ae.Awcalc(cm4)
15
10,3
Ae.Awesc(cm4)
19
12
Np (voltas)
122
57
Gap(mm)
1,6
0,6
Fio
AWG20
AWG20
Litz
0,39
0,09
0,37
0,19
0,76
0,28
6,5x6,6x2,7
5,0x6,0x2,1
Especificaes
196
Perdas (W)
Semicondutor
Imdio (A)
Ieficaz (A)
Conduo
Chaveamento
Sp
16,5
19
3,7
S1
6,5
3,7
S2
6,5
3,7
S3
6,5
3,7
S4
6,5
3,7
S5
6,5
3,7
S6
6,5
3,7
D1
2,2
3,2
D2
2,2
3,2
D3
2,2
3,2
D4
2,2
3,2
D5
2,2
3,2
D6
2,2
3,2
56,2
25,9
Perdas Totais
Fonte: Prprio autor.
197
198
199
200
201
202
Apndice C
Cdigos de Programao
Tabela 16 - Cdigo em C.
/** ###################################################################
**
Filename : Events.C
**
Project
: DSC
**
Processor : MC56F8257
**
Component : Events
**
Version
: Driver 01.03
**
Compiler : Metrowerks DSP C Compiler
**
Date/Time : 24/4/2013, 17:50
**
Abstract :
**
This is user's event module.
**
Put your event handler code here.
**
Settings :
**
Contents :
**
TI1_PWM_OnInterrupt - void TI1_PWM_OnInterrupt(void);
**
** ###################################################################*/
/* MODULE Events */
#include "Cpu.h"
#include "Events.h"
/* Include's do usuario */
#include "definicoes.h"
#include <math.h>
/* Constantes externas */
//tabela do seno de 0 a 60 graus 128pts
203
204
/* Estruturas externas */
extern struct var_type bd_var;
/* Funcoes externas */
extern void Valores_reais (void);
extern void calculosetor (void);
/* Variaveis globais*/
Frac16 D2ang = FRAC16(0);
/* Variaveis globais externas */
205
extern
extern
extern
extern
Frac16
Frac16
Frac32
Frac32
D1_real;
D2mod_real;
D2ang_real;
sub_angulo;
206
//D2ang = (Frac16)(count_D2ang>>1);
Valores_reais ();
calculosetor ();
D1_local = D1_real; //atribui variaveis
D2mod_local = D2mod_real;//
D2ang_local = D2ang_real; //
//protecao para D1
D1_complementar = sub(FRAC16(1),D1_local);
ta = ta*10;//transformar na escala de 0 a 1
tanovo = L_mult_ls(ta,D1_complementar);
tanovo = L_add(L_deposit_h(D1_local),tanovo);
if (tanovo >= FRAC32(0.85)) //protecao para ta
tanovo = FRAC32(0.85);
else if (tanovo < L_deposit_h(D1_local))
tanovo = L_deposit_h(add(D1_local,FRAC16(0.0002)));
207
}
/*
** ===================================================================
**
Event
: PWM_HF_OnReload (module Events)
**
**
Component
: PWM_HF [PWMMC]
**
Description :
**
This event is called before PWM cycle according to reload
**
frequency. The event is invoked only when the component is
**
enabled - <Enable> and the events are enabled - <EnableEvent>.
**
This event is enabled only if i<Interrupt service/event> is
**
enabled.
**
Parameters : None
**
Returns
: Nothing
** ===================================================================
*/
#pragma interrupt called /* Comment this line if the appropriate
'Interrupt preserve registers' property */
/* is set to 'yes' (#pragma interrupt saveall is
generated before the ISR)
*/
void PWM_HF_OnReload(void)
{
Sector_PutVal(bd_var.sector);
}
/*
** ===================================================================
**
Event
: AD1_OnEnd (module Events)
**
**
Component
: AD1 [ADC]
**
Description :
**
This event is called after the measurement (which consists
**
of <1 or more conversions>) is/are finished.
**
The event is available only when the <Interrupt
**
service/event> property is enabled.
**
Parameters : None
**
Returns
: Nothing
** ===================================================================
*/
#pragma interrupt called /* Comment this line if the appropriate
'Interrupt preserve registers' property */
/* is set to 'yes' (#pragma interrupt saveall is
generated before the ISR)
*/
void AD1_OnEnd(void)
{
static word leiturasAD[3];
byte erro;
erro=AD1_GetValue((word*)leiturasAD);
//leiturasAD[0]=4963;
//leiturasAD[1]=4963;
//leiturasAD[2]=2590;
bd_var.Duty_D1 = leiturasAD[0];
bd_var.Duty_D2mod = leiturasAD[1];
//bd_var.Duty_D2ang = leiturasAD[2];
208
//status.leitura_AD_ok = TRUE;
}
/*
** ===================================================================
**
Event
: Cmp1_OnCompare (module Events)
**
**
Component
: Cmp1 [FreescaleAnalogComp]
**
Description :
**
This method is invoked when the edge specified by the
**
<Analog comp. mode> property or by the
**
<SetAnalogComparatorMode> method occurs on analog comparator
**
output.
**
This event is available only if the property <Interrupt
**
service/event> is enabled.
**
Parameters : None
**
Returns
: Nothing
** ===================================================================
*/
void Cmp1_OnCompare(void)
{
/* Verificando borda de sincronismo */
if(bd_var.count_D2ang >= 1110)
{
bd_var.count_D2ang = 0;
}
}
/* END Events */
/*
** ###################################################################
**
**
This file was created by Processor Expert 3.00 [04.35]
**
for the Freescale 56800 series of microcontrollers.
**
** ###################################################################
*/
**
/** ###################################################################
**
Filename : Projeto_svmC
**
Project
: Projeto_svm
**
Processor : MC56F8257
**
Version
: Driver 01.14
**
Compiler : Metrowerks DSP C Compiler
**
Date/Time : 24/4/2013, 17:50
**
Abstract :
**
Main module.
**
This module contains user's application code.
**
Settings :
**
Contents :
**
No public methods
**
** ###################################################################*/
/* MODULE Projeto_Aula_VHDL */
209
210
D1_real = extract_h(L_mult_ls(D1_m,bd_var.Duty_D1));
if (D1_real >= FRAC16(0.75)) //prot para n explodir D2 -- para ter
sempre 1-D1-D2
{
D1_real = FRAC16(0.75);
}
D2mod_real = extract_h(L_mult_ls(D2mod_m,bd_var.Duty_D2mod));
if (D2mod_real >= FRAC16(0.85)) //prot para n explodir D2 -- para ter
sempre 1-D1-D2
{
D2mod_real = FRAC16(0.85);
}
D2ang_real = L_mult_ls(D2ang_m,D2ang);
}
void calculosetor (void)
{
/** Setor 6 -> Setor 1 **/
if((bd_var.sector == SECTOR6) &&
// (D2ang_real < FRAC32(0.104719755119660)) &&
//(D2ang_real >= FRAC32(0)))
(D2ang_real < FRAC32(0.100949843935352)) &&
(D2ang_real >= FRAC32(0)))
{
sub_angulo = FRAC32(0);
bd_var.sector = SECTOR1;
}
/** Setor 1 -> Setor 2 **/
else if((bd_var.sector == SECTOR1) &&
//(D2ang_real < FRAC32(0.209439510239320)) &&
//(D2ang_real >= FRAC32(0.104719755119660)))
(D2ang_real < FRAC32(0.205669599055012)) &&
(D2ang_real >= FRAC32(0.100949843935352)))
{
sub_angulo = FRAC32(0.100949843935352);
bd_var.sector = SECTOR2;
}
/** Setor 2 -> Setor 3 **/
else if((bd_var.sector == SECTOR2) &&
//(D2ang_real < FRAC32(0.314159265358979)) &&
//(D2ang_real >= FRAC32(0.209439510239320)))
(D2ang_real < FRAC32(0.310389354174672)) &&
(D2ang_real >= FRAC32(0.205669599055012)))
{
sub_angulo = FRAC32(0.205669599055012);
bd_var.sector = SECTOR3;
}
/** Setor 3 -> Setor 4 **/
else if((bd_var.sector == SECTOR3) &&
//(D2ang_real < FRAC32(0.418879020478639)) &&
//(D2ang_real >= FRAC32(0.314159265358979)))
211
212
for(;;) {
}
/* END */
/*
** ###################################################################
**
**
This file was created by Processor Expert 3.00 [04.35]
**
for the Freescale 56800 series of microcontrollers.
**
** ###################################################################
*/
Fonte: Prprio autor.
213
port(clock: in std_logic;
DTvec: in std_logic_vector (2 downto 0); -- D, TA, TB
sect: in std_logic_vector (2 downto 0);
sec_out: out std_logic_vector (2 downto 0);
S:
buffer std_logic_vector(6 downto 0) := "0000000"
);
end BB_CSI;
architecture Behavioral of BB_CSI is
-- *** Saida PWM
-- Espera dos sinais
constant PWM_table_AG: std_logic_vector (6 downto 0) := "0000000"; -Aguarda
-- Energia D1
constant PWM_table_D: std_logic_vector (6 downto 0) := "0000001"; -- D
-- Transferencia de energia A
type PWM_decode_TA is array (1 to 6) of std_logic_vector (6 downto 0);
constant PWM_table_TA: PWM_decode_TA :=
(("0010010"), -- Ta1
[1]
("1000010"), -- Ta2
[2]
("1001000"), -- Ta3
[3]
("0001100"), -- Ta4
[4]
("0100100"), -- Ta5
[5]
("0110000") -- Ta6
[6]
);
-- Transferencia de energia B
type PWM_decode_TB is array (1 to 6) of std_logic_vector (6 downto 0);
constant PWM_table_TB: PWM_decode_TB :=
(("1000010"), -- Tb1
[1]
("1001000"), -- Tb2
[2]
("0001100"), -- Tb3
[3]
("0100100"), -- Tb4
[4]
("0110000"), -- Tb5
[5]
("0010010") -- Tb6
[6]
);
-- Mantem a energia acumulada
type PWM_decode_MT is array (1 to 6) of std_logic_vector (6 downto 0);
constant PWM_table_MT: PWM_decode_MT :=
(("0000110"), -- Mantem1 [1]
("1100000"), -- Mantem2 [2]
("0011000"), -- Mantem3 [3]
("0000110"), -- Mantem2 [4]
("1100000"), -- Mantem3 [5]
("0011000") -- Mantem2 [6]
);
-- Maquina de estado que define o setor
type sector_state_type is (SETOR1, SETOR2, SETOR3, SETOR4, SETOR5, SETOR6);
signal estado_setor: sector_state_type := SETOR1;
-- Maquina de estado que define tempo em fs
type tempo_state_type is (D_STATE, TA_STATE, TB_STATE, MANTEM_STATE,
SD_STATE, STA_STATE, STB_STATE,
SMANTEM_STATE);
signal estado_tempo: tempo_state_type := MANTEM_STATE;
214
begin
215
c1 := 0;
estado_setor <= SETOR1;
sec_out <= "001";
end if;
end if;
when "010" => c1 := 0; c3 := 0; c4 := 0; c5 := 0; c6 :=
0;
if(estado_setor = SETOR1) then
c2 := c2+1;
if(c2 = max_count) then
c2 := 0;
estado_setor <= SETOR2;
sec_out <= "010";
end if;
end if;
when "011" => c1 := 0; c2 := 0; c4 := 0; c5 := 0; c6 := 0;
if(estado_setor = SETOR2) then
c3 := c3+1;
if(c3 = max_count) then
c3 := 0;
estado_setor <= SETOR3;
sec_out <= "011";
end if;
end if;
when "100" => c1 := 0; c2 := 0; c3 := 0; c5 := 0; c6 := 0;
if(estado_setor = SETOR3) then
c4 := c4+1;
if(c4 = max_count) then
c4 := 0;
estado_setor <= SETOR4;
sec_out <= "100";
end if;
end if;
when "101" => c1 := 0; c2 := 0; c3 := 0; c4 := 0; c6 := 0;
if(estado_setor = SETOR4) then
c5 := c5+1;
if(c5 = max_count) then
c5 := 0;
estado_setor <= SETOR5;
sec_out <= "101";
end if;
end if;
when "110" => c1 := 0; c2 := 0; c3 := 0; c4 := 0; c5 := 0;
if(estado_setor = SETOR5) then
c6 := c6+1;
if(c6 = max_count) then
c6 := 0;
estado_setor <= SETOR6;
sec_out <= "110";
end if;
end if;
when others => estado_setor <= estado_setor;
c1 := 0; c2 := 0; c3 := 0; c4 := 0; c5 := 0; c6 := 0;
end case sec;
end if;
end if;
end process setor;
-- Atualizacao dos pulsos
pulsos: process(clock)
begin
216
if(rising_edge(clock)) then
pul: case estado_tempo is
-- Estado Short-time D
when SD_STATE =>
case estado_setor is
when SETOR1 => S <=
when SETOR2 => S <=
when SETOR3 => S <=
when SETOR4 => S <=
when SETOR5 => S <=
when SETOR6 => S <=
when others => S <=
end case;
PWM_table_D OR
PWM_table_D OR
PWM_table_D OR
PWM_table_D OR
PWM_table_D OR
PWM_table_D OR
PWM_table_AG;
PWM_table_MT(1);
PWM_table_MT(2);
PWM_table_MT(3);
PWM_table_MT(4);
PWM_table_MT(5);
PWM_table_MT(6);
-- Estado D
when D_STATE =>
case estado_setor is
when SETOR1 => S <= PWM_table_D;
when SETOR2 => S <= PWM_table_D;
when SETOR3 => S <= PWM_table_D;
when SETOR4 => S <= PWM_table_D;
when SETOR5 => S <= PWM_table_D;
when SETOR6 => S <= PWM_table_D;
when others => S <= PWM_table_AG;
end case;
-- Estado Short-time TA
when STA_STATE =>
case estado_setor is
when SETOR1 => S <=
when SETOR2 => S <=
when SETOR3 => S <=
when SETOR4 => S <=
when SETOR5 => S <=
when SETOR6 => S <=
when others => S <=
end case;
-- Estado Ta
when TA_STATE =>
case estado_setor is
when SETOR1 => S <=
when SETOR2 => S <=
when SETOR3 => S <=
when SETOR4 => S <=
when SETOR5 => S <=
when SETOR6 => S <=
when others => S <=
end case;
PWM_table_TA(1)
PWM_table_TA(2)
PWM_table_TA(3)
PWM_table_TA(4)
PWM_table_TA(5)
PWM_table_TA(6)
PWM_table_AG;
OR
OR
OR
OR
OR
OR
PWM_table_D;
PWM_table_D;
PWM_table_D;
PWM_table_D;
PWM_table_D;
PWM_table_D;
PWM_table_TA(1);
PWM_table_TA(2);
PWM_table_TA(3);
PWM_table_TA(4);
PWM_table_TA(5);
PWM_table_TA(6);
PWM_table_AG;
-- Estado Short-time Tb
when STB_STATE =>
case estado_setor is
when SETOR1 => S <= PWM_table_TB(1) OR PWM_table_TA(1);
when SETOR2 => S <= PWM_table_TB(2) OR PWM_table_TA(2);
when SETOR3 => S <= PWM_table_TB(3) OR PWM_table_TA(3);
when SETOR4 => S <= PWM_table_TB(4) OR PWM_table_TA(4);
when SETOR5 => S <= PWM_table_TB(5) OR PWM_table_TA(5);
when SETOR6 => S <= PWM_table_TB(6) OR PWM_table_TA(6);
when others => S <= PWM_table_AG;
end case;
-- Estado Tb
217
<=
<=
<=
<=
<=
<=
<=
PWM_table_TB(1);
PWM_table_TB(2);
PWM_table_TB(3);
PWM_table_TB(4);
PWM_table_TB(5);
PWM_table_TB(6);
PWM_table_AG;
-- Estado Mantem
when SMANTEM_STATE =>
case estado_setor is
when SETOR1 => S <= PWM_table_MT(1) OR
when SETOR2 => S <= PWM_table_MT(2) OR
when SETOR3 => S <= PWM_table_MT(3) OR
when SETOR4 => S <= PWM_table_MT(4) OR
when SETOR5 => S <= PWM_table_MT(5) OR
when SETOR6 => S <= PWM_table_MT(6) OR
when others => S <= PWM_table_AG;
end case;
-- Estado Mantem
when MANTEM_STATE =>
case estado_setor is
when SETOR1 => S <= PWM_table_MT(1);
when SETOR2 => S <= PWM_table_MT(2);
when SETOR3 => S <= PWM_table_MT(3);
when SETOR4 => S <= PWM_table_MT(4);
when SETOR5 => S <= PWM_table_MT(5);
when SETOR6 => S <= PWM_table_MT(6);
when others => S <= PWM_table_AG;
end case;
-- Estado de erro, Aguardar
when others => S <= PWM_table_AG;
end case pul;
end if;
end process pulsos;
end Behavioral;
Fonte: Prprio autor.
PWM_table_TB(1);
PWM_table_TB(2);
PWM_table_TB(3);
PWM_table_TB(4);
PWM_table_TB(5);
PWM_table_TB(6);
218
219
220