You are on page 1of 31

Memrias

Definio
Memrias so dispositivos que armazenam informaes. Neste trabalho
trataremos das memrias que armazenam informaes codificadas digitalmente
que podem representar nmeros, letras caracteres quaisquer, comandos de
operaes, endereos ou ainda qualquer outro tipo de dado.
As memorias encontram seu grande emprego no campo da informtica,
sendo utilizadas principalmente em computadores e perifricos. So tambm
utilizadas em outros sistemas com microprocessadores, tais como: kits e projetos
especficos. Armazenam dados para endereamento, programao e para
constituir o conjunto de programas internos para funcionalidade do prprio
sistema. Um outro tipo de aplicao consiste em utiliza-las para executarem
quaisquer funes de circuitos combinacionais, e ainda com o auxlio de
contadores comuns e conversores, gerar formas de ondas de diversas maneiras
de modo mais simples.
Nos itens seguintes, abordaremos os conceitos preliminares e itens
relativos classificao das memorias.

Classificao das Memrias

Antes de estudarmos os diversos tipos de memrias, vamos conhecer sua


classificao. Podemos classificar as memrias em vrios itens diferentes. A
seguir, vamos relacionar os principais:
1.

Acesso

2.

Volatilidade

3.

Troca de dados

4.

Tipo de armazenamento

Vamos, agora, definir cada item:


Acesso
As memrias acessam informaes em lugares denominados localidades
de memria. Cada uma das localidades de memria possui um conjunto de bits
que nos permite o seu acesso. A este conjunto de bits damos o nome de
endereo. Esse conceito de fcil compreenso, pois como o prprio nome diz,
o conjunto de bits representa o endereo da localidade onde est armazenada
uma informao.
O tempo de acesso de uma memria o tempo necessrio desde a entrada
de um endereo at o momento em que a informao aparea na sada. Para as
memrias de escrita/leitura tambm o tempo necessrio para a informao ser
gravada.
Podemos ter acesso a uma dada localidade de memria de duas maneiras
diferentes:
1.

Acesso sequencial

2.

Acesso aleatrio

As memrias que utilizam o acesso sequencial, dado o endereo de uma


certa localidade, permitem que se cheguem at esta, passando por todas as
localidades intermedirias. As memrias mais comuns com este tipo de
acessoso as que operam com fitas magnticas, sendo utilizadas como memria
de massa em computadores (para grande quantidade de dados).

Para entendermos melhor o acesso sequencial, tomemos o exemplo de


uma fita magntica. Para que tenhamos acesso a uma informao armazenada
em uma localidade qualquer, necessitamos enrolar a fita at o ponto dessa
localidade, para s, ento, termos acesso informao l contida. Notamos,
neste caso, que ao enrolarmos a fita, passamos por todas as localidades
intermedirias.
Uma caracterstica importante deste tipo de acesso que o tipo de acesso
depende do lugar onde a informao est armazenada. No caso da fita, se uma
informao estiver no fim do rolo, necessitamos enrola-la at o ponto desejado,
logo o tempo de acesso ser longo, caso a informao esteja no incio da fita, o
tempo de acesso ser menor.
As memrias que utilizam o acesso aleatrio, dado o endereo de uma
certa localidade, permitem que se cheguem at esta diretamente, sem que
necessitemos passar pelas localidades intermedirias. As principais memrias
com este tipo de acesso so tambm conhecidas como RAM(Randon Access
Memory). So largamente utilizadas em sistemas digitais programveis.
Possuem grande vantagem de ter um tempo de acesso pequeno e igual para
qualquer uma das localidades de memria. Analisaremos mais adiante o circuito
da memria RAM.
2. Volatilidade
Quanto volatilidade, as memrias podem ser volteis e no-volteis.
As memrias volteis so aquelas que, ao ser cortada a alimentao,
perdem as informaes armazenadas. So memrias feitas, geralmente, a partir
de semicondutores e na maioria das vezes, possuem como elemento de memria
o flip-flop. Um exemplo tpico j citado, o da memria RAM.

As memrias no volteis so aquelas que mesmo sem alimentao,


continuam com as informaes armazenadas. Dentre estas se destacam as
memrias magnticas e as eletrnicas: ROM, PROM e EPROM.
3. Troca de Dados
No que se refere a troca de dados com outros componentes do sistema,
as memrias podem ser de escrita/leitura ou memrias apenas de leitura.
As memrias de escrita/leitura so aquelas que permitem acesso a uma
localidade qualquer para armazenar a informao desejada, alm disso,
permitem o acesso tambm para a leitura do dado. As memriasRAM tambm
se enquadram nessa situao.
As memrias apenas de leitura, como o prprio nome diz, so aquelas
em que a informao fixa, s podendo efetuar-se a leitura. So tambm
conhecidas como ROM (Read-Only Memory). A anlise deste tipo de
memria ser feita adiante.
5. Tipos de Armazenamento
Quanto ao tipo de armazenamento, as memrias se classificam em
estticas e dinmicas.
As memrias de armazenamento esttico so aquelas em que uma vez
inserido o dado numa dada localidade, este l permanece.
As memorias de armazenamento dinmico so aquelas em que
necessitamos de inserir a informao de tempos em tempos, pois de acordo com
as caractersticas de seus elementos internos, perdem essas informaes aps um
determinado tempo.
As memorias de armazenamento esttico apresentam a vantagem de
possuir uma utilizao de maneira mais fcil que as dinmicas.

Estrutura Geral e Organizao de uma Memria


Como vimos, uma memria armazena ou acessa as informaes digitais
mediante endereamento, em lugares denominados localidades de memrias.
Para o acesso a estas localidades o bloco possui uma serie de terminais de
entradas de endereos que so ligados a um conjunto de fios denominado barra
de endereos (adderess bus, em ingls) sendo este responsvel por todo o
endereamento de um sistema tpico com microprocessador. Para a entrada e
sada dos dados, da mesma forma, o bloco possui uma serie de terminais ligados
barra de dados (data bus). A figura 1 apresenta a esquematizao de uma
memria eletrnica tpica com a ligao dos barramentos mencionados e mais os
terminais de alimentao e terra.

Figura 1
A simbologia utilizada na figura, mostra que a barra de dados
bidirecional, ou seja, pode ser usada tanto como sada ou entrada de dados, isso
nos tipos mais comuns de memorias de escrita/leitura (RAM), sendo, um
terminal apropriado da barra de controle responsvel por este procedimento, a
ser estudado detalhadamente mais adiante.

As memrias de maneira geral, no que se refere quantidade de dados


armazenados, so especificadas pela notao Nxm, onde a primeira letra indica
o nmero de localidades da memria e a segunda indica o nmero de bits da
informao armazenada por localidade. Estas especificaes caracterizam toada
a organizao de estrutura da memria. Para exemplificar, vamos relacionar
algumas estruturas de memrias usuais na prtica:
1.

32x8

2.

128x8

3.

1Kx4

4.

64Kx8

5.

2Mx16

Notamos, por estas especificaes, que o nmero de localidades sempre


mltiplo de 2n, fato derivado da possibilidade total de endereamento por um
determinado nmero de fios ou terminais (n), em situao binaria.
A designao K (Kilo) que significa um fator 210 =1024, e a M (Mega)
que significa 220 = 1048576 so muito usuais na atualidade, principalmente esta
ltima, devido grande quantidade de memria exigida pelos sistemas digitais.
Por exemplo, a memria mencionada anteriormente de 64Kx8 possui 64x1024 =
65536 localidades, com 8 bits (1 byte) em cada uma, necessitando de 16
terminais para endereamento. A de 2Mx16 possui 2x1048576 = 2097152
localidades com 16 bits, necessitando de 21 terminais para endereamento.
Outro parmetro a ser definido o da capacidade da memria, que
significa o nmero total de bits que podem ser armazenados em uma memria.
Para seu clculo, basta efetuarmos o produto Nxm, multiplicando o nmero de
localidades pelo nmero de bits por localidade, obtendo assim a capacidade total
em bits desta memria. Por exemplo, uma memria de 1Kx4 possui na
totalidade 4096 bits de capacidade.

Um outro ponto importante a ser abordado em relao a palavra de


endereo, que definida como sendo o conjunto de nveis lgicos ou bits
necessrios para o endereamento de uma determinada localidade de memria
para o acesso ao lado. Para facilitar a escrita da apalavra de endereo relativa a
cada localidade, bem como sua utilizao em programao, comum
transcrever-se

este

conjunto

de

bits

diretamente

para

hexadecimal,

principalmente no caso de memorias de alta capacidade, pois conforme j visto,


este sistema de numerao permite a representao de cada 4 bits utilizando
apenas 1 digito hexadecimal atravs de converso direta.
Toda a estrutura com os endereos e dados armazenados frequentemente
colocada em uma tabela denominada mapeamento de memria. Para
exemplificar, a tabela 1 apresenta o mapeamento de uma memria genrica de
256 localidades.

Endereo das
Localidades em
Binrio

Endereo das
Localidades em Localidade Contedo
Hexadecimal

A7 A6 A5 A4 A3 A2 A1 A0
0 0 0 0 0 0 0 0

00

L0

I0

0 0 0 0 0 0 0 1

01

L1

I1

0 0 0 0 0 0 1 0

02

L2

I2

0 0 0 0 0 0 1 1

03

L3

I3

Tabela 1 (parte)

Endereo das

Endereo das

Localidade

Contedo

Localidades em

Localidades em

Binrio

Hexadecimal

10100111

A7

L167

I167

10101000

A8

L168

I168

10101001

A9

L169

I169

11111101

FD

L253

I253

11111110

FE

L254

I254

11111111

FF

L255

I255

Tabela 1

Notamos que uma memria com 256 localidades precisa de 8 fios para
endereamento (28 = 256), identificados de A7 at A0, sendo o endereo da
localidade inicial 0016 (000000002) e da final FF16 (111111112). Supondo que a
referida memria possua 8 bits por localidade, ou seja 256x8, sua
esquematizao em bloco, com a barra de dados de D7 at D0, mostrada na
figura 2.

+Vcc

A0
A1
A2
A3
A4
A5
A6
A7

MEMRIA
256x8

BARRA
DE CONTROLE

D0
D1
D2
D3
D4
D5
D6
D7

Figura 2
Nos itens subsequentes, vamos estudar os principais tipos de memrias e
suas respectivas arquiteturas.

Memrias ROM
As memrias ROM, conforme j visto no item relativo
classificao, apresentam como caracteristica principal, permitir
somente a leitura dos dados nela gravados previamente em sua
fabricao. Vem dai o nome ROM (Read-Only Memory), que
significa memria apenas de leitura. Alm disso possuem acesso
aleatrio e so no volteis, pois no perdem seus dados armazenados
com o desliagmento da alimentao. Na realidade, as memrias ROM
podem ser consideradas como circuitos combinacionais, pois
apresentam as saidas de dados em funo das combinaes entre as
variveis de entrada (endereamento).

Dentre as diversas aplicaes, destacamos sua utilizao para o


armazenamento

de

programas

de

sistemas

operacionais

em

computadores e outros sistemas digitais. Podem, ainda, ser utilizadas


em circuitos de gerao de caracteres e para a contruo de um
circuito combinacionalqualquer. A figura 3 apresenta o bloco
representativo de uma memria ROM, com terminais e barramentos
), para habilitao da
conhecidos e mais um terminal de controle (CS

pastilha ou chip (em ingls).

CS
+Vcc

BARRA DE
ENDEREOS

ROM

BARRA DE
DADOS

Figura 3

(chip
O terminal de controle para habilitao ou seleo da patilha CS
select) , na realidade, uma entrada de nivel lgico para ativar ou no as saidas
da ROM. Se aplicarmos esta entrada um nvel 0, as saidas sero habilitadas, ou
seja,sero internamente comutadas para fornecer os dados , conforme
funcionamento normal de endereamento, porm, se aplicarmos um nivel 1,

estas sero desabilitadas, assumindo estados de alta impedancia, liberando a


barra de dados para utilizao por outros dispositivos presentes no sistema
), indica
controlado normalmente por microprocessador. O trao sobre o CS (CS
que a habilitao da pastilha feita com nivel 0 (ativa em 0), sendo esta uma
forma de nomenclatura muito utilizada na pratica. Na srie de circuitos
) tendo a mesma
integrados comerciais, tambem encontrado o chip enable(CE
funcionalidade.
A escolha da ativao por nvel 0 deve-se, tambm, ao fato desta
proporcionar maior imunidade ao ruido, pois, em situaocontrria, haveria
maior susceptibilidade para o acionamento dos blocos dentro do sistema, frente
a este fator transiente indesejavel.

Arquitetura Interna das Memrias ROM


Uma memria ROM, pode ser ser construda de inmeras maneiras,
porm, vamos estudar a arquitetura basica utilizada, principalmente, nos
processos de fabricao dos circuitos integrados atuais. A figura 4 apresenta em
blocos a arquitetura bsica de uma ROM genrica, com os respectivos terminais
e barramentos de entrada e sada.

A0

DECODIFICADOR

A1

DE

ENDEREOS

MATRIZ

DE
:

DADOS

NN-1

CS

CHAVES DE SADA

Figura 4

D0 D1 D2 ... DN-1

O primeiro bloco consiste num decodificador de endereos, que nada


mais que um gerador de produtos cannicos, responsvel por ativar (fornrcer
nvel 1) um fio de sada por vez, em funo do endereamento.
O segundo bloco constitudo por uma matriz de dados, que um
arranjo de linhas e colunas que, atravs de um elo de ligao, possibilita
agravao dos dados pelo fabricante e consequente leitura pelo usurio. Na
prtica, dentre as vrias tecnologias de construo, utilizam-se para a formao
desses elos, elementos semicondutores (diodos ou transistores), que conforme
visto a seguir, iro se constituir na estrutura de dados propriamente ditos.
Para

a sada de dados , a memria possui um conjunto de chaves

, possibilita a conexao das


(Buffers), que conforme habilitao do terminal
sadas (nivel 0), ou as deixa em alta impedncia (nvel 1), desconectando-as da
barra de dados do sistema .

Para exemplificar, mostrando a estrutura de componentes interna aos


blocos e explica r seu funcionamento, vamos construir uma ROM 4x8, com o
contedo de dados presentes na tabela 2.
Endereo

Dados

A1

A0

Hex

D7

D6

D5

D4

D3

D2

D1

D0

1E

8A

0D

76

Tabela 2
Seguindo a arquitetura bsica j vista e em funo dos dados
apresentados na tabela, vamos esquematizar a memria, seu cricuito visto na
figura 5

A1

A0

Figura 5

D7

D6

D5

D4

D3

D2

D1

D0

Pela figura, em confronto com a arquitetura bsica em blocos, podemos


facilmente identificar o decodificador de endereos, a matriz de dados e o
conjunto de chaves de sadas (buffers), que ativos em 0, conduziro os nveis
relativos aos dados s sadas efetivas do bloco.
A matriz de dados, como j dissemos, constituda por um conjunto de
diodos (clulas de memria), formando na realidade uma srie de portas OU
confeccionadas com diodos, trazendo principalmente a vantagem de ser
facilmente programvel na sua construo, pois, conforme a descrio do
funcionamento visto a seguir, a presena do diodo d origem ao nvel 1 na
localidade, e a sua ausncia, ao nvel 0.
Notamos, tambm, que a simbologia de cada chave (buffer) de
sada,representa que sua habilitao (atuao como chave fechada) em nvel 0.
Para melhor esclarecimento, a figura 6 mostra este elemento (a), e seu circuito
equivalente (b), sendo sua situao transcrita para a tabela 3.

Ch

FECHADA

ALTA
IMPEDNCIA

ABERTA

Tabela 3

ch

(a)

(b)

Figura 6
Para explicar o funcionamento da memria e mostrar como obtido um
dado de sada, vamos enderear o caso 00 (A1 = 0 e A0 =0), e aplicar um nvel 0
, para ativar todo o conjunto de chaves. Nesta situao de
entrada
endereamento, apenas o fio de sada da primeira porta ativado (nvel 1) pelo
decodificador de endereos, provocando a conduo de corrente pelos
respectivos diodos atravs dos resistores ao terra do circuito. Devido a estas
condues, surgiro nos resistores quedas de tenses que transpostas pelos fios
at as sadas D4,D3,D2 e D1, resultaro no dado 1E16 (000111102). Convm
observar que as tenses aparecem apenas nos fios com diodos colocados, sendo
iguais a 0 nos outros, sem diodo

Como outro exemplo, vamos enderear o caso 10 (A1 = 1 e A0 =0).


Nesta situaoapenas o fio de sada da terceira porta ativado (nvel 1) pelo
decodificador de endereo, surgindo quedas de tenses nos resistores pelos
diodos que transpostas pelos fios at as sadas D3, D2 e D0, resultaro no dado
0D16 (000011012).
Conforme a tecnologia de fabricao, so utilizados na matriz de dados
ao invs de simples diodos, outros elementos semicondutores, tais como
transistores bipolares ou transistores de efeito de campo (MOS-FET). Para
facilitar o processo de programao pelo fabricante, este utiliza um gabarito
fotogrfico das ligaes eltricas chamado mscara, sendo as memrias assim
confeccionadas denominadas ROM Programadas por Mscara (MaskProgrammed Read-Only Memory).
As memrias ROM so produzidas com programaes fixas para
aplicaes determinadas e sob encomenda, apenas em grande quantidade,
normalmente para clientes especficos e fabricantes de equipamentos. Uma
soluo para o pequeno usurio a utilizao das ROMs programveis (PROM
e EPROM), estudadas a seguir.

Memrias PROM
As memrias PROM (Programmable Read-Only Memory) permitem
o armazenamento de dados pelo prprio usurio, porm feito de modo
definitivo. Aps esta programao, a memria PROM transforma-se em uma
ROM, devendo, portanto, ser utilizada como tal.
O princpio bsico da programao ou armazenamento de dados em uma
PROM, o de destruir, atravs de nvel de tenso conveniente especificado pelo
fabricante, as pequenas ligaes semicondutoras existentes internamente nas
localidades onde se quer armazenar a palavra de dados, conforme
endereamento feito. O roteiro para tanto fornecido pelo fabricante nos
manuais, sendo que, na prtica, existem disponveis sistemas apropriados (kits
ou placas), para realiza-lo conforme o tipo de pastilha, com maior eficincia e
rapidez. Devemos realar que aps a programao, o processo irreversvel, no
sendo possvel nenhuma alterao.
Este tipo de memria recebe, da mesma forma que a ROM, a
classificao de no voltil, acesso aleatrio e de apenas de leitura, pois apesar
da programao prvia para a funcionalidade do sistema onde vai ser utilizada,
s ir permitir a leitura de dados.

Memrias EPROM
Com o avano da tecnologia, foram criadas as memrias EPROM
(ErasableProgrammableReady-Only Memory), ROM programvel e
apagvel, que permitem a programao de modo semelhante das PROMs, com
a vantagem de ser normalmente apagadas, mediante banho de luz ultravioleta,
efetuado atravs da exposio da pastilha por uma janela existente em seu
encapsulamento e, ainda, serem reprogramadas. So tambm conhecidas como
UVPROM (Ultraviolet PROM). Da mesma forma, aps a programao, esta
memria transforma-se em uma ROM, recebendo os mesmos itens de
classificao.
As EPROMs so largamente utilizadas em circuitos digitais com
microprocessadores, principalmente para o armazenamento de sistemas
operacionais bsicos residentes, responsveis pelo funcionamento essencial do
sistema sobretudo no que se refere conectividade elementar e funcional entre
os circuitos integrados.
Convm ressaltar que o apagamento dos dados se d de maneira
simultnea e compacta para o programa inteiro, sendo necessria a regravao
total do programa em caso de modificaes por mais simples que sejam.
Existem disponveis, comercialmente, vrios tipos de EPROMs com
diversas capacidades de armazenamento. Para exemplificar, mostrar a
terminologia e a funo dos terminais dos barramentos, sobretudo os bsicos de
controle, afigura 7 apresenta o bloco de uma EPROM do tipo mais comum,
estruturada em 2Kx8.
+V=

A0

EPROM
2Kx8

D0

A10

Vpp

D7

Identificao dos terminais:


A0 A10: barra de endereos
D0 D7: barra de dados

: habilitao da pastilha (Chip Enable)

: habilitao da sada (Output Enable)
: habilitao da programao (Program)

Vpp: tenso de programao (Program Supply Voltage)

Conforme a capacidade desta memria (2Kx8), para o acesso das


localidades necessrio 11 fios (211 = 2048=2K), e 8 para a barra de dados.
tem a funo de ativar o bloco atravs de
O terminal de habilitao
nvel 0, quando em nvel 1 o deixa desativado, na situao de baixo consumo de
, por sua vez, tem a funo de
potncia (stanby). A entrada de controle
habilitar ou desabilitar apenas o barramento de sada, sendo da mesma forma a
habilitao em nvel 0.
Para a programao dos dados o bloco dispe de um terminal (Vpp), que
recebendo uma tenso especfica, sendo o valor fornecido pelo fabricante,
responsvel juntamente com o terminal , pelo armazenamento das
informaes. O processo se realiza mediante a aplicao da tenso em
Vpp(tipicamente um valor maior que Vcc), da habilitao da programao
() atravs de nvel 0, do endereamento e da aplicao das respectivas
palavras de dados ao bloco, sequencialmente, conforme a listagem do programa
a ser armazenado.
O apagamento do programa pode ser feito pela exposio do bloco luz
ultravioleta durante 15 a 50 minutos, tambm conforme a especificao dada
pelo fabricante, em funo da potncia da lmpada utilizada. Aps o
apagamento, todas as localidades assumem nveis 1, podendo o processo de
regravao e apagamento se repetir por inmeras vezes.
Na prtica, da mesma forma, existem disponveis sistemas apropriados
(Kits ou placas), para realizar o processo de gravao conforme o tipo de
EPROM, com maior eficincia e rapidez. Existem, tambm, sistemas
denominados apagadores de EPROMconstituindo-se em uma caixa vedada, com

lmpada ultravioleta e sistema de cronometragem programada, que conforme o


fabricante e especificao da EPROM, efetuam o processo de apagamento
automaticamente.

Memrias EEPROM
As memrias EEPROM ou E2PROM (Electrically Erasable
Programmable Read-Only Memory), constituem-se num avano tecnolgico
em relao s EPROMs estudadas, pois permitem que o apagamento dos dados
seja feito eletricamente e, ainda, isoladamente por palavra de dados, sem
necessidade de reprogramao total. Este fato faz com que as alteraes de
programao sejam efetuadas pelo prprio sistema no qual a memria esteja
inserida, sem necessidade de desconexo do circuito integrado, como no caso da
EPROM.
Para ilustrar esta apresentao, a figura8apresenta o bloco de uma
E PROM de tipo comum, estruturada em 8Kx8.
2

+Vcc

A0

I/00

A12

E2PROM

8Kx8

I/07

Figura 8
Notamos pela figura, que para o acesso das localidades desta memria
necessrio 13 fios (213 =8192=8K). Notamos ainda, que devido possibilidade
de escrita e leitura pelos mesmos terminais, a barra de dados passa a ter a
caracterstica de bidirecional, recebendo a terminologia de I/O (Input/Output),
muito comum nestes casos.

a escrita de uma palavra de dados, alterando a programao, obtida


atravs do endereamento e respectiva aplicao da palavra nos terminais da
barra de dados, isto com o terminal
em nvel 1, e o de habilitao da escrita
(Write Enable), em nvel 0, dentro de um ciclo de tempo mnimo,

especificado em manual pelo fabricante do circuito integrado.


Em nvel de classificao, esta memria pode causar polemica em um
item, pois apesar de permitir a escrita e leitura de dados, faz parte das memrias
apenas de leitura (ROM). O nome EPROM, no entanto, deve ter sido atribudo
por questes histricas dentro do desenvolvimento tecnolgico na rea, o
mesmo ocorrendo com outras memrias. Alm deste item, esta memria no
voltil e possui acesso aleatrio.

Memrias RAM
As memrias RAM, conforme j mencionado, permitem a escrita e
leitura dos dados e possuem acesso aleatrio ou randmico. Vem da o nome
RAM (Random-Access Memory). Alm disso, so volteis, pois perdem seus
dados armazenados com o desligamento da alimentao. Possuem, ainda, um
tempo de acesso muito reduzido, sendo utilizadas em equipamentos digitais
principalmente como memria de programas e dados para armazenamento de
forma temporria, pois, em funo de volatilidade, estes so perdidos no
desligamento ou interrupo da energia.
Quanto ao armazenamento, so encontradas nos tipos estticas (SRAM:
Static RAM), ou dinmicas (DRAM: Dynamic RAM). As RAMs estticas
utilizam como clula bsica de memria o flip-flop, possuindo em sua
arquitetura vrios elementos. J as do tipo dinmicas possuem circuitos mais
simples, porm necessitando de reinsero de dados peridica em ciclo, na
prtica denominada refresh (termo em ingls, que significa refrescar), sendo
esta operao controlada pelo microprocessador do sistema. A clula bsica da
RAM dinmica armazena cada dado por efeito capacitivo do pequeno condutor
formado internamente, por este motivo, apresenta a vantagem de alta capacidade
de armazenamento por circuito integrado.
A figura 9 apresenta o bloco representativo de uma memria RAM
esttica, com terminais e barramentos j conhecidos e mais um terminal de
(Read/Write) de dupla funo, para possibilitar a leitura
controle R/
=1), ou escrita (R/
=0) dos dados nas localidades endereadas.
(R/

+Vcc

BARRA DE

RAM

BARRA DE

ENDEREOS

DADOS

R/

Para entendermos o funcionamento bsico de uma RAM esttica, vamos,


inicialmente analisar o circuito de uma clula bsica que permite a escrita e
leitura de 1 bit de informao. Este circuito visto na figura

R/
RAM
SEL

Para efetuar a escrita de um dado, devemos primeiramente selecionar a


) para 0. Logo aps,
clula (SEL=1) e passar o controle de leitura/escrita (R/
aplicamos o dado no terminal D, agora configurado como entrada.
A figura apresenta a clula bsica, com todas estas situaes colocadas e,
ainda como exemplo, a aplicao para armazenamento de nvel 1 na entrada D.

R/
0

1
0

SEL
1

0
1

1
D
0

Pela figura notamos que a porta NE superior ir, atravs de nvel 0em
sua sada, ativar as duas chaves (buffers), aqui substitudas pelos circuitos
equivalentes, fazendo o dado ser aplicado ao flip-flop e consequentemente ser
armazenado na sada. Enquanto isso, a outra porta, atravs de nvel 1 em sua
sada, ir desativar a chave de sada, permitindo a escrita ou entrada do dado.
Para efetuara leitura, devemos tambm selecionar a clula (SEL=1), e
para 1, sendo obtido o dado armazenadopelo terminal D,
passar o controle R/
agora configurado como sada. A figura mostra esta situao colocada no
esquema.


R/
1

0
1

SEL
1

0
1

1
D
0

Pela figura, notamos que a porta NE superior, atravs de nvel 1 em sua sada,
ir desativar as chaves nas entradas das portas do flip-flop, impedindo a escrita
de um novo dado. Estas entradas, estando em vazio devido a abertura das
chaves, assumem nvel 1, fazendo o flip-flop manter as suas sadas Qf=Qa
circuito elementar de um flip-flop RS). Enquanto isso, a outra porta NE atravs
de nvel 0 em sua sada, ir ativar a chave na sada do flip-flop, fazendo o dado
armazenado ser transposto sada D.
No caso da clula no ser selecionada (SEL=0), as 2 portas NE
apresentaro nvel 1 em suas sadas, mantendo as trs chaves abertas, deixando a
clula com a sada desativada (tri-state), impedindo qualquer escrita ou leitura
de dados.
Na realidade, dentro dos circuitos integrados, so construdas clulas
bsicas com diversas configuraes tecnolgicas e de circuitos, sendo esta
apresentada devido ao seu enorme carter didtico. Nos itens seguintes, para
facilitar, utilizaremos para desenvolver a arquitetura interna deste tipo de
memria, clulas genricas representadas em blocos. A figura mostra o bloco
padro representativo da clula da memria RAM, sendo sua atuao resumida
na tabela

SEL
0
1

RW
X
0

TRI
TRI-STATE
ENTRADA
PARA
ESCRITA

SADA
PARA
LEITURA

SEL
D

R/

Figura

Tabela

Arquitetura Interna das Memrias RAM


Podemos, utilizando a clula bsica padro analizada no item anterior,
construir arquiteturas de memrias RAM estticas no formato Mxm. Para
exemplificar, a figura mostra a arquitetura de uma RAM de estrutura 4x4.
A1

R/

A0 (LSB)

RAM 4x4

SEL

R/

SEL

R/

SEL

R/

SEL

R/

D3

SEL

R/

SEL

R/

SEL

R/

R/

SEL

R/

SEL

R/

R/

SEL

R/

SEL

R/

R/

SEL

R/

SEL

R/

SEL

SEL

SEL

D2

D1

D0 (LSB)

Uma RAM, assim especificada, possui quatro localidades com 4 bits


cada.
O circuito, como se observa, constitudo por um decodificador de
endereos com dois fios (A1 e A0), responsvel pelo endereamento de cada
localidade definida pelo conjunto das quatro clulas interligadas
horizontalmente. Os terminais de dados (D) esto tambm interligados, porem,
por posicionamento do bit na palavra de dados, pois no endereamento de cada
conjunto atravs das entradas SEL, os outros no endereados adquirem nos
terminais D, a situao de alta impedncia (tri-state), sendo desconectadas do fio
encontram-se interligadas para
em comum. Alm disso todas as entradas R/
propiciar um controle simultneo da escrita ou leitura para todas as localidades.
Para mostrar o funcionamento desta memria, vamos primeiramente
efetuar o armazenamento (escrita) do dado 516 (01012), na localidade 116,
endereada por 01
=1), o nvel 0 nas
Inicialmente , estando a pastilha no selecionada (
entradas das portas E aps o inversor, ocasiona o aparecimento de nvel 0 na
sada destas, fazendo todas as clulas de memria entrar em estado de alta
impedncia(SEL = 0 D em tri-state).
= 1), e o endereamento da localidade
Feita a seleo da pastilha (
(A=0 e A0 =1), o segundo fio superior na sada da porta E ir, mediante nvel 1,
em 0
selecionar todas as clulas da linha (SEL=1). Com o controle R/
(escrita), aplicamos os dados nos respectivos terminais, agora configurado como
entradas (D3=0, D2=1, D1=0 E D0=1), sendo estes armazenados pelas clulas.
para 1, para posterior leitura, os dados iro
Com a passagem de R/

permanecer armazenados, mesmo na reverso da seleo da clula com


passando para nvel 1. Devemos ressaltar ainda, que a informao ser perdida
caso se desligue a tenso de alimentao.
O mesmo processo de escrita pode ser estendido para outras localidades,
para 0 e aplicando respectivamente a
bastando enderear, passando R/
informao de dados nas entradas D.
=
Para a leitura de uma informao, devemos selecionar a pastilha (
igual a 1, enderear a localidade, obtendo assim a informao de
0), e com R/
dados nos terminais D, agora configurados como sadas.
A figura mostra a representao em bloco da RAM utilizada no exemplo.

+Vcc

A0
A1

R/

D0
RAMD1
4x4

D2
D3

Figura

Expanso da Capacidade da Memria RAM


Em certas aplicaes, comum necessitarmos de memrias de maior capacidade
ou diferentes das encontradas no mercado. Neste tpico, mostraremos como
expandir a capacidade de uma RAM, caso muito comum na prtica, porm
valendo o mesmo processo para outras memrias.
A expanso pode ser obtida pela palavra de dados, pelo aumento de
localidades ou ainda por ambos, conforme a situao.
Para iniciarmos esta anlise, vamos elaborar um exemplo simples que
mostra a expanso da palavra de dados. Vamos formar uma memria RAM
256x8, a partir de dois blocos de estrutura 256x4. A figura mostra a ligao dos
blocos que possibilita esta expanso.

D7

D6

RAM
1

D5
D4

R/

D3

D2

RAM
2

A7
A6
A5
A4
A3
A2
A1
A0

D1
D0

R/

R/

Notamos pela figura, que os terminais de endereamento (A7 a A0), de


) e de controle de escrita/leitura (R/
) so interligados,
seleo da pastilha (
pois estas operaes so comuns aos dois blocos na memria obtida. A barra de
dados, porm, composta pela associao da barra de cada memria (4 Bits),
resultando em uma palavra de dados maior (8 Bits de D, a D0), aumentando
assim a capacidade da memria.
Nesta memria derivada, o endereo da localidade inicial 00 16
(000000002), e o da final FF16(111111112).
= 0), logo aps, aplicamos
Primeiramente, selecionamos a pastilha (
os nveis 00111110 (3E16) barra de endereos e, a seguir, passamos o controle
) para 0, e por ultimo, aplicamos os nveis 00101000
de escrita/leitura (R/
(2816) barra de dados.

fcil perceber que o armazenamento da informao na memria


expandida ser feito em duas partes, sendo a mais significativa (0010) na RAM
1, e a menos significativa (1000) na RAM 2, isso com endereamento
simultneo s duas localidades.
para 1 e enderear a
Para a leitura da informao, devemos passar R/
localidade, obtendo assim a informao de dados nos terminais de D7 a D0,
simultaneamente, agora configurados como sadas.
Como j dissemos, uma outra forma possvel de expanso da capacidade
com aumento das localidades de memrias. Para exemplificar este processo,
vamos formar uma memria RAM 128x4, utilizando blocos de estrutura 32x4.
Para funcionar na forma 128x4, o sistema deve ser composto por 4
RAMs de 32x4, sendo o endereamento feito por 7 terminais (2 7 = 128). Para
tanto, utilizaremos os 5 terminais de cada bloco interligados e como
complemento, associaremos dois fios auxiliares, que atravs de um circuito
.
apropriado, faro a seleo de cada bloco em sequncia, atravs das entradas
Na parte relativa aos dados, basta interligar os respectivos terminais, pois no h
expanso.
A figura mostra a ligao dois quatro blocos e o circuito seletivo que
possibilita esta expanso.

D3 D2 D1 D0

RAM
1

R/

RAM
2

R/

RAM
3

R/

RAM
4

R/

R/
A6 A5 A4 A3 A2 A1 A0

= 1), o nvel 0 nas entradas das


Estando o sistema no selecionado (
portas NE aps o inversor, ocasiona o aparecimento de nveis 1 nas sadas

destas, fazendo todos os blocos de memria entrar em estado de alta impedncia


(terminais de dados em tri-state).
= 0), o nvel 1 na entrada das portas ir libera-las para
Feita a seleo (
transmitir o endereamento aos blocos. A palavra de endereo das localidades
ser, no sistema expandido, composta pelos cinco fios de cada bloco interligados
(A0 a A4), e mais dois fios complementares responsveis diretamente pelos
nveis de sadas das portas, para efetuar a seleo parcial.
Ao efetuarmos o endereamento da primeira localidade (00 16

00000002), a porta NE relativa RAM 1, em funo de A6 = 0 e A5 = 0 e dos


, sendo as
inversores ligados, ir selecionar a RAM 1 atravs de nvel 0 em
demais memrias no selecionadas, pois as respectivas portas iro fornecer nas
sadas nveis 1. Com isso, sequencialmente, at o endereo 0011111 2 (1F16),
estaremos ocupando as localidades relativas RAM 1.
Ao enderearmos a localidade seguinte (01000002 2016) teremos
selecionado a da RAM 2, pois a respectiva porta NE ir apresentar nvel 0 em
sua sada em funo de A6 = 0 e A5 = 1, estando as demais RAMs desativadas.
O endereo da RAM 2 vai at 01111112 (3F16).
De maneira anloga, o processo de endereamento segue sequencialmente,
bloco a bloco, at a ltima localidade da memria expandida (11111112 7F16).
Para mostrar toda estrutura de endereamento desta memria, a tabela
contm as palavras de endereo inicial e final de cada RAM integrada ao
sistema.

A6 A5 A4 A3 A2 A1 A0

Hex

0 0 0 0 0 0 0

00

0 0 1 1 1 1 1
0 1 0 0 0 0 0

1F
20

0 1 1 1 1 1 1
1 0 0 0 0 0 0

3F
40

1 0 1 1 1 1 1
1 1 0 0 0 0 0

5F
60

1 1 1 1 1 1 1

7F

RAM 1

RAM 2

RAM 3

RAM 4
Tabela

Para se efetuar a escrita ou a leitura de dados neste sistema expandido,


procede-se normalmente, conforme j explicado.
Uma outra possibilidade de expanso de memria consiste na ampliao
de palavra de dados e tambm no nmero de localidades. Este processo obtido
atravs da fuso dos dois aqui abordados aumenta-se o nmero de localidades
utilizando o circuito seletivo e associa-se um outro sistema semelhante para
compor a nova palavra de dados.
Na rea dos microcomputadores, devido principalmente flexibilidade
de montagem das configuraes de hardware e rpida evoluo dos sistemas,
os equipamentos possuem na placa principal uma srie de conectores livres
(slots), sendo alguns destinados exclusivamente a receber novos mdulos de
memrias RAM (DRAM), para serem utilizados como expanso. Na prtica,
estes mdulos constituem-se em arranjos destas memrias, que dispostas em
pequenas plaquetas de circuito impresso removveis denominadas popularmente
pentes de memria,

You might also like