You are on page 1of 2

HISTORIA DEL VHDL

VHDL es un lenguaje definido por el IEEE (ANSI/IEEE 1076-1993) usado por


ingenieros y cientficos para describir circuitos digitales o modelar fenmenos
cientficos respectivamente. VHDL es el acrnimo que representa la combinacin
de VHSIC y HDL, donde VHSIC es el acrnimo de Very High Speed Integrated
Circuit y HDL es a su vez el acrnimo de Hardware Description Language. Para el
modelado fsico existe la derivacin del lenguaje VHDL-AMS. Originalmente, el
lenguaje VHDL fue desarrollado por el departamento de defensa de los Estados
Unidos a inicios de los aos 80's basado en ADA, con el fin de realizar simulacin
de circuitos elctricos digitales; sin embargo, posteriormente se desarrollaron las
herramientas de sntesis e implementacin en hardware a partir de los archivos
.VHD. Aunque puede ser usado de forma general para describir cualquier circuito
digital se usa principalmente para programar PLD (Programable Logic Device Dispositivo Lgico Programable), FPGA (Field Programmable Gate Array), ASIC y
similares.
Otros mtodos para disear circuitos son la captura de esquemas (con
herramientas CAD) y los diagramas de bloques, pero stos no son prcticos en
diseos complejos. Otros lenguajes para el mismo propsito, pero con un nivel de
abstraccin superior son Verilog y ABEL.

Dentro del VHDL hay varias formas con las que se puede disear el mismo circuito
y es tarea del diseador elegir la ms apropiada.

Funcional o Comportamental: Se describe la forma en que se comporta el


circuito digital, se tiene en cuenta solo las caractersticas del circuito respecto
al comportamiento de las entradas y las salidas. Esta es la forma que ms se
parece a los lenguajes de software ya que la descripcin puede ser secuencial,
adems de combinar caractersticas concurrentes. Estas sentencias
secuenciales se encuentran dentro de los llamados procesos en VHDL. Los
procesos son ejecutados en paralelo entre s, y en paralelo con asignaciones
concurrentes de seales y con las instancias a otros componentes.

Flujo de datos: Se describen asignaciones concurrentes (en paralelo) de


seales.

Estructural: Se describe el circuito con instancias de componentes. Estas


instancias forman un diseo de jerarqua superior, al conectar los puertos de
estas instancias con las seales internas del circuito, o con puertos del circuito
de jerarqua superior. Es la recomendada cuando el diseo digital se vuelve
complejo o est conformado por mltiples bloques de hardware.
Mixta: combinacin de todas o algunas de las anteriores.

En VHDL tambin existen formas metdicas para el diseo de mquinas de


estados, filtros digitales, bancos de pruebas etc.

You might also like