You are on page 1of 56

Bulk

micromachining

Basic idea behind lithographic processing


Basic idea behind lithographic processing
Coat, protect,
expose,
repeat
Coat, protect,
expose, etch,
etch, repeat...

Coat, protect, expose, etch, repeat

Slide #2

Slide #2

Slide
Slide
#6#6

SiliconWafer
WaferCuts
Cuts
Silicon
Siliconwafers
waferscut
cutparallel
parallelto
toone
oneof
ofthe
thecrystal
crystalplanes.
planes.
Silicon
n-type(100)
(100)
n-type

o o
135
135

n-type(111)
(111)
n-type

p-type(100)
(100)
p-type

o o
9090

Millerindices
indicesindicated
indicatedby
bygound
gound
Miller
edgescalled
calledflats.
flats.n-type
n-typeand
and
edges
p-typerefer
refertotodoping.
doping.NNmeans
means
p-type
negative(phosphorus)
(phosphorus)and
andPPmeans
means
negative
positive(boron).
(boron).
positive

p-type(111)
(111)
p-type

o
o
4545

Mark
Bachman,
EECS179
Fall
Quarter,
UCI
Mark
Bachman,
EECS179
Fall
Quarter,
UCI

Semiconductormanufacturing
manufacturing
Semiconductor

Slide #8
Slide #8

Main steps in semiconductor processing.


Main steps in semiconductor processing.
Oxidation
Oxidation
Film deposition
Film deposition
Metalization
Metalization
Lithography
Lithography
Etch
Etch
Ion implantation
Ion implantation
Diffusion
Diffusion
Strip
Strip
Bulk micromachining uses a subset of these processes.
Bulk micromachining uses a subset of these processes.

Mark Bachman, EECS179 Fall Quarter, UCI

Oxidationof
ofsilicon
silicon
Oxidation

Slide #9
Slide #9

Oxygencauses
causessilicon
silicontotooxidize.
oxidize.Heat
Heatspeeds
speedsup
upreaction.
reaction.
Oxygen
Oxygen in presence of silicon causes surface to
Oxygen in presence of silicon causes surface to
oxidize to its natural oxide: SiO .
oxidize to its natural oxide: SiO2.2
Si (solid) + O2 (gas) -> SiO2 (Dry oxidation)
-> SiO2 (Dry oxidation)
Si (solid) + O2 (gas)
After first layer of oxide is formed (25 ), how
After first layer of oxide is formed (25 ), how
to get oxygen under the skin of the first
to get oxygen under the skin of the first
layer? Diffusionheat driven
layer? Diffusionheat driven
Si (solid) + H O (gas) -> SiO2 (Wet oxidation)
Si (solid) + H2O2 (gas) -> SiO2 (Wet
oxidation)
Less dense than dry oxide, but faster diffusion
Less dense than dry oxide, but faster diffusion
times
times
0.5microns
microns= =~6~6hrs
hrsatat1200
1200C
C(~1
(~1hrhrwet
wetox)
ox)
0.5

Silicon
wafers
on
a quartz
Silicon
wafers
change
color
Silicon
wafers
on
a
quartz
Silicon
wafers
change
color
boat
about
to
enter
a
furnace.
due to thin
layer
of furnace.
dielectric
boat
enter
due about
to thintolayer
ofadielectric
on surface.
on surface.

Slide #10
Slide #10

Photoresist
Photoresist
Photoresist
is polymer
(plastic)
that
hashas
light
sensitive
chemicals
Photoresist
is polymer
(plastic)
that
light
sensitive
chemicals
Positive
resist
composition:
DQN
Positive
resist
composition:
DQN
Photoactive
compound
(PAC)
Photoactive
compound
(PAC)
diazonaphthoquinone
(DQ)
diazonaphthoquinone
(DQ)
Matrix
or resin
Matrix
or resin
novolac
novolac
(N)(N)
Solvents
Solvents
Resist
Resist
Silicon
Silicon

Slide #11
Slide #11

Photoresist
Photoresist spinning
spinning

Spinning
Spinning is
is easiest
easiest and
and most
most accurate
accurate way
way to
to coat
coat aa wafers
wafers surface.
surface.
Spin curves
Spin curves

Typical spin speed is 3500 rpm.


Typical
spin speed
is out
3500torpm.
Liquid
material
sheets
layer
Liquid
material
sheets
out
to
layer
that is only a few microns thick.
that is only a few microns thick.

Slide #12

Photoresist exposure
Photoresist exposure

Slide #12

Exposing resist to light causes it to change its chemistry.


Exposing resist to light causes it to change its chemistry.
Expose to UV light
Expose to UV light
Develop in hydroxide solution (TMAH, NaOH)
Develop in hydroxide solution (TMAH, NaOH)
Exposed areas are soluble in hydroxide
Exposed areas are soluble in hydroxide
Rinse
Rinse

Resist
Resist
Silicon
Silicon

Mark Bachman, EECS179 Fall Quarter, UCI

Slide #13

Photoresist contrast
Photoresist contrast

Slide #13

High contrast resist required for high resolution.


High contrast resist required for high resolution.

The contrast curve is a logarithmic sensitivity plot showing resist thickness versus exposure
energy. The contrast g is defined as the linear slope of the transition region and describes the
The contrast curve is a logarithmic sensitivity plot showing resist thickness versus exposure
ability of the resist to distinguish between light and dark areas.
energy. The contrast g is defined as the linear slope of the transition region and describes the
ability of the resist to distinguish between light and dark areas.

Mark Bachman, EECS179 Fall Quarter, UC

Slide #14
Slide #14

Photoresist
Photoresistproperties
properties
Many
Manyproperties
propertiesofofrelevance
relevancewhen
whenselecting
selectingphotoresist
photoresist
Photospeed
Photospeed
Viscosity
Viscosity

Adhesion
Adhesion
Thermal stability
Thermal stability
Etch resistance
Etch resistance

Contamination
Contamination
Shelf-life
Shelf-life

Pinhole density
Pinhole density
Charging
Charging
Ease of processing
Ease of processing

Our Choice: Shipley 1827


Our Choice: Shipley 1827

Mark Bachman, EECS179 Fall Quarter, UCI

Optical
Opticallithography
lithography

Slide #15
Slide #

Use a photographic mask to shine light and shadows on a photoresist


Use a photographic mask to shine light and shadows on a photoresist
covered wafer.
covered wafer.
Projection
Projection
system
system

Lithographic masks
Lithographic masks

Slide #16

Binary masks good for ~ 1 micron work.


Binary masks good for ~ 1 micron work.
Transfer pattern to substrate
Transfer
pattern
toa substrate
Structure
of binary
mask is
photomask blank
Made of glass, quartz, with
covered with pa:erned lm of opaque
Made
of glass,emulsion
quartz, with
photographic
or metal
material.
photographic
emulsion
metal
such as chrome
of iron or
oxide

such as chrome of iron oxide
Its transmission
haracteris?cs
are
Defects,cdust,
pinholes
alleither
affect
transparent
or non-transparent.
Defects,
dust,
pinholes all affect
patterning
quality

patterning quality
Masks made by mask writer (laser
Binary mask is used for building a pa:ern in
Masks
made by mask writer (laser
or e-beam.
which line width being larger than the
or e-beam.
exposure wave length.

Mark Bachman, EECS179 Fall Quarter, UCI

Lithographic masks
Lithographic masks

Slide #16

Binary masks good for ~ 1 micron work.


Binary masks good for ~ 1 micron work.

Transfer pattern to substrate


Transfer pattern to substrate
Made of glass, quartz, with
Made
of glass,emulsion
quartz, with
photographic
or metal
photographic
emulsion
metal
such as chrome
of iron or
oxide
such as chrome of iron oxide
Defects, dust, pinholes all affect
Defects,
dust,
pinholes all affect
patterning
quality
patterning quality
Masks made by mask writer (laser
Masks
made by mask writer (laser
or e-beam.
or e-beam.

Mark Bachman, EECS179 Fall Quarter, UCI

Lithographic masks
Lithographic
masks
Some types of problems with masks.

Some types of problems with masks.


Range from misalignment to mask error, op?cal distor?on, wafer or
mask expansion and magnica?on change

Slide #17

Slide #18

Lithographic masks
Photomasks that take advantage of the interference generated by phase dierences to
Phase shift masks good for sub-micron work (< .3 micron).
improve image resolu?on in photolithography. There exist alterna1ng and a3enuated phase
shi7 masks
Phase-shiGing mask (PSM) has achieved improved wafer printability with higher resolu?on
and increased DOF (Depth of Focus), by controlling the phase shiG and the transmission rate.
This is a standard technology for lithography in which line width being smaller than the
exposure wave length. Phase-shi7 masks are
Half-tone mask includes chrome layer and
semitransparent layer that shiG the phase
angle of an incoming light by 180 degree.

When light passing through materials, its
speed is altered, which in turn, its phase angle
is shiGed. This material, a semitransparent
layer is called "phase shiGer".
Phase shift masks are not used for MEMS applciations.

Slide #18

Lithographic masks
shift
masksmgood
sub-micron
work a(<
.3ade
micron).
In aPhase
lterna'ng
phase-shi/
asks, cfor
ertain
transmiTng regions
re m
thinner or
thicker. That induces a phase-shiG in the light traveling through those regions of the
mask (see the illustra?on on the leG). When the thickness is suitably chosen, the
interference of the phase-shiGed light with the light coming from unmodied regions
of the mask has the eect of improving the contrast on some parts of the wafer,
which may ul?mately increase the resolu?on on the wafer. The ideal case is a phase
shiG of 180 degrees, which results in all the incident light being sca:ered. However,
even for smaller phase shiGs, the amount of sca:ering is not negligible. It can be
shown that only for phase shiGs of 37 degrees or less will a phase edge sca:er 10% or
less of the incident light.
A3enuated phase-shi/ masks employ a dierent approach. Certain light-blocking parts of
the mask are modied to allow a small amount of light to be transmi:ed through (typically
just a few percent). That light is not strong enough to create a pa:ern on the wafer, but it
can interfere with the light coming from the transparent parts of the mask, with the goal
again of improving the contrast on the wafer.
Phase shift masks are not used for MEMS applciations.

Lithographic masks

Slide #18

Lithographic masks

Phase
goodfor
forsub-micron
sub-micron
.3 micron).
Phaseshift
shiftmasks
masks good
workwork
(< .3(<
micron).
Conven?onal binary phase mask

Alternate phase shiGing mask

Rim phase shiGing phase

A:enuated phase shiGing mask

Phase shift masks are not used for MEMS applciations.

Phase shift masks are not used for MEMS applciations.

Slide #19

Lithographic light source


Lithographic light source
Mercury bulbs used for most lithographic work.
Mercury bulbs used for most lithographic work.
Makes good, cheap UV source.
Makes good, cheap UV source.
Mercury
bulbMercury
bulb

g-line (436 nm), i-line (365 nm).


g-line (436 nm), i-line (365 nm).

Slide

Dependences
Dependenceson
onwavelength
wavelength

Slide #20
Slide #20

Ultraviolet
Ultravioletlight
lighthas
hassmall
smallwavelengthgood
wavelengthgoodfor
forhigh
highresolution.
resolution.

K1 depends on resist parameters, process condi?ons, and mask aligner op?cs


High NA, high
High NA, high
magnification
magnification

Low NA, low


Low NA, low
magnification
magnification

High NA, low


High
low
depth
ofNA,
focus
depth of focus

Low NA, high


LowofNA,
high
depth
focus
depth of focus

High
Highres
res
cant
cantdo!
do!

High
Highres
res
Low
Lowres
res

Low
Lowres
res

Proximity
Proximityprinting
printing
Proximity
Proximityprinting
printing(or
(orcontact
contactprinting)
printing)isiseasiest
easiestmethods.
methods.

Slide #21
Slide #21

Wet isotropic etching


Wet isotropic etching

Slide #22
Slide #22

Some chemicals can etch silicon. But photoresist not strong enough.
Some chemicals can etch silicon. But photoresist not strong enough.

Isotropic profile
Isotropic
profile
from
etch
from etch

Oxide or nitride
Oxide
or nitride
as hard
mask
as hard mask

Almost all etch techniques in bulk micromachining require the prepatterning


Almost
all etch
techniques
bulk micromachining
require
the prepatterning
of a hard
mask.
A strong in
material
(like silicon oxide
or silicon
nitride) must
of
hardover
mask.
strongthen
material
(like silicon
oxide
or silicon nitride) must
beagrown
theAwafer,
patterned
to make
a stencil.
be grown over the wafer, then patterned to make a stencil.
Photoresist, in general, isnt strong enough (selectivity is poor).
Photoresist, in general, isnt strong enough (selectivity is poor).

Mark Bachman, EECS179 Fall Quarter, UCI

Slide #23
Slide #23

Wet anisotropic etching


Wet anisotropic etching

Some chemicals (KOH) can etch silicon, but have preferential etch
Some chemicals (KOH) can etch silicon, but have preferential etch
along an axis. This allows one to design am anisotropic etch.
along an axis. This allows one to design am anisotropic etch.

(100)
(100)

(100)
(100)

Crystal lattice plays a very


Crystal lattice
plays
a very
important
role for
some
wet
important role for some wet
etchants. Etching is very much
etchants. Etching is very much
faster in the 111 direction.
faster in the 111 direction.
Typically 500x (100) and 600x
Typically 500x (100) and 600x
(110).
(110).

(111)
(111)

(111)
(111)

54.74o o
54.74
(110)
(110)

(110)
(110)

(100)
(100)

Slide #24
Slide #24

Wet anisotropic etching


Anisotropic
Anisotropic etch
etch follows
follows crystal
crystal orientation,
orientation, not
not mask.
mask.
Mask
Mask misalignment
misalignment with
with respect
respect to
to the
the crystal
crystal
lattice
lattice will
will result
result in
in uneven
uneven undercutting
undercutting since
since the
the
etch
etch will
will proceed
proceed along
along crystal
crystal planes.
planes.

For
For long,
long, narrow
narrow
patterns
the
patterns the
effect
effect is
is to
to make
make
the
the sidewalls
sidewalls look
look
rough.
rough.

Picture
Picture of
of KOH
KOH etch
etch silicon
silicon
with
with hard
hard mask
mask

Slide #25
#25
Slide

Using bulk etch to generate 3-D structures


Some examples of free-formed structures from bulk micromachining.

Usingbulk
bulketch
etchtotogenerate
generate3-D
3-Dstructures
structures
Using

Slide #26
Slide #26

Someexamples
examplesofoffree-formed
free-formedstructures
structuresfrom
frombulk
bulkmicromachining.
micromachining.
Some

Slide #27

Bulk
machining
using
dry dry
etchetch
Bulk
machining
using
Vapor and plasma etch can be used for bulk micromachining
Vapor and plasma
etch can be used for bulk micromachining
Example: Xenon difluoride etch

Example: Xenon difluoride etch

2XeF2 + Si

2XeF2 + Si

2Xe + SiF4

2Xe + SiF4

Rough texture (10 mm)

Rough texture
(10 mm)
Generates
heat locally
Generates
heat
locallypolymer
May
form silicon
fluoride
onMay
surface
not dehydrated
formifsilicon
fluoride polymer
on surface
if not
dehydrated
Must
operate in
pulsed
mode
Must operate in pulsed mode

Bulk
machining
using
dry
etch
Bulk
machining
using
dry
etch
Plasma
etch
can
bebe
used
forfor
bulk
micromachining
Plasma
etch
can
used
bulk
micromachining
Example:
Reactive
IonIon
Etch
Example:
Reactive
Etch
Use plasma (glow discharge) to create energetic ions
Use plasma (glow discharge) to create energetic ions
Common chemistries:
Common chemistries:
13)
Cl2 + CClF
3 (Freon
Cl2 + CClF
3 (Freon 13)
CHCl
3 + Cl
2
CHCl
3 + Cl2
SF6SF
NF6NF6
6
CClCCl
4
4
H2 H
+ CF
4 (Freon 14)
2 + CF4 (Freon 14)
C2ClF
(Freon
115)
C ClF
(Freon
115)
2

Etch
rate
depends
on on
plasma
power,
pressure,
etc.
Typically
0.1um/min
Etch
rate
depends
plasma
power,
pressure,
etc.
Typically
0.1um/min

Slide #28
Slide

Slide
Slide
#29#29

Plasmaetchers
etchers
Plasma

PlasmaininRIE
RIEsystem
systemcontains
containsreactive
reactivegasses
gassesthat
thatreact
reactwith
withmaterials
materials
Plasma
etchthem.
them.Regular
Regularplasma
plasmauses
usesphysical
physicalbombardment
bombardment(erosion).
(erosion).
totoetch
Plasma
Etcher
Plasma
Etcher

Reactive
etcher
Reactive
ionion
etcher

vacuum
To To
vacuum
VAC
VAC
GasGas
N2
N2
sensor
mixture
sensor
mixture

~1Torr
Torr
~1

vacuum
To To
vacuum
VAC
VAC
GasGas
N2
N2
sensor
mixture
sensor
mixture

~10milliTorr
milliTorr
~10

RegularRIE
RIEonly
onlygood
goodfor
forthin
thinfilms
films(<(<1micron)
1micron)
Regular

Mark
Bachman,
EECS179
Quarter,
Mark
Bachman,
EECS179
FallFall
Quarter,
UCIUCI

Deep
Deep Reactive
Reactive Ion
Ion Etch
Etch

Slide #30
Slide #30

Reactive
Reactive ion
ion etch
etch can
can be
be performed
performed by
by parallel
parallel plate
plate (RF)
(RF) plasma
plasma or
or
magnetic
magnetic fields
fields (inductive)
(inductive) plasma.
plasma. Inductive
Inductive plasma
plasma isis very
very powerful.
powerful.
Use high power, high density plasma (inductively
Use high power, high density plasma (inductively
coupled plasma, ICP)
coupled plasma, ICP)
Alternate:
Alternate:
ETCH (using standard chemistries)
ETCH (using standard chemistries)
DEPOSIT (C4F8+SF6) polymerized layer
DEPOSIT (C4F8+SF6) polymerized layer
ETCH
ETCH
DEPOSIT
DEPOSIT
etc.
etc.
Create high-aspect ratio etches, up to 1 mm!
Create high-aspect ratio etches, up to 1 mm!
ICP etch in silicon with
Etch rate is 2-3 mm/min or more
ICP etch
in mask
silicon with
Etch rate is 2-3 mm/min or more
oxide
oxide mask
DRIE is good for thick materials (> 1micron)
DRIE is good for thick materials (> 1micron)

Slide #

Bulk machining
DRIE (Bosch
process)
Bulk machining
using using
DRIE (Bosch
process)
Combination
DRIE
and passivation
Combination
of DRIEof
and
passivation
step. step.

*
*
* ++ F
Plasma reaction
+* CF
Plasma reaction
C4F8 + e- C4FCF
+ eX- + F + e
8 +X+e + CFCF
X X

Passivation
layer deposition
CF2X*(fluorocarbon
nCF2 (fluorocarbon
Passivation
layer deposition
CFX*
nCF
polymer)polymer)
*
*nCF + F* * -->CF
CF
CF2 (gas)
Polymer Polymer
etch nCFetch
2 + F --> 2CFX
2 X(gas)

http://www.bco-technologies.com/technology/trench/soi.php3
http://www.bco-technologies.com/technology/trench/soi.php3

Bulk machining using DRIE (Bosch process)


Bulk machining using DRIE (Bosch process)
Close up of Bosch process
Close up of Bosch process

Scalloped walls from


DRIE processScalloped walls
DRIE process

High aspect ratio etches are possible.


High aspect ratio etches are possible.

Mark Bachman, EECS179 Fall Quarter, UC

Mark Bachman, EECS1

Slide #33

Bulk
machining
using
DRIE
(Bosch
process)
Bulk
machining
using
DRIE
(Bosch
process)
Bulk machining
using DRIE
(Bosch
process)
Sample
etch
profiles
forfor
Bosch
process.
Sample
etch
Bosch
process.
Sample etch
profiles
forprofiles
Bosch process.

aspect
ratio
etches
possible.
HighHigh
aspect
ratio
etches
are are
possible.
High aspect ratio etches are possible.

Slide #3

Layering films to generate 3-D structures


Layer-by-layer a 3-D structure can be built up

Thin films

Surface micromachining refers to building a MEMS device by adding layers of


material to the substrate, then patterning each layer.
Usually surface micromachining is done on silicon.
Surface micromachining is becoming the most popular method for making MEMS.

Mark
Mark Bachman,
Bachman, EECS179
EECS179 Fall
Fall Quarter,
Quarter, UCI
UC

Slide #4
Slide #4

Thin
film
strategy
Thin
film
strategy
Thin film strategy
MEMS
similar
to microelectronics
fabrication
MEMS
similar
to microelectronics
fabrication
MEMS similar to microelectronics fabrication

Layers of films, totaling less than 10 microns, typically.


Layers
of films,
totaling
less than
microns,
typically.
Layers
of films,
totaling
less 10
than
10 microns,
typically.
Layers deposited, patterned, etched.
Layers
deposited,
patterned,
etched.
Layers
deposited,
patterned,
etched.
Sacrificial material removed after completion.
Sacrificial material removed after completion.
Sacrificial
material
removed after
completion.
Similar
to standard
semiconductor
processes,
like CMOS.
Similar to standard semiconductor processes, like CMOS.

Similar to standard semiconductor processes, like CMOS.


Mark Bachman, EECS179 Fall Quarter, UCI

Growing
thin
films
Growing
thin
films

Slide #5
Slide #5

Chemical vapor deposition: Chemical reactions from vapor


Chemical vapor deposition: Chemical reactions from vapor

Slide #6

Growing
thin films
Growing
thin films

Sticking
Sticking
Gas energy
substrate
temperature
play a large
role
in sticking
Gas and
energy
and substrate
temperature
play a
large
role in sticking
Low gas
energy
high sticking
coefficient
Low
gas energy
high sticking
coefficient
Low substrate
temperature
high sticking
coefficient
Low substrate
temperature
high sticking
coefficient

Mark Bachman, EECS179 Fall Quarter, UCI


Mark Bachman, EECS179 Fall Q

Growing thin
thin films
films
Growing

Slide #7
Slide #7

Nucleation
Nucleation

Molecular species
species migrate
migrate on
on surface
surface
Molecular
Agglomeration occurs
occurs at
at condensation
condensation points
points
Agglomeration

Heterogeneous nucleation
nucleation occurs
occurs due
due to
to reduction
reduction in
in surface
surface energy
energy caused
caused by
by
Heterogeneous
surface/nucleus interface
interface
surface/nucleus

Mark Bachman, EECS179 Fall Quarter, UCI


Mark Bachman, EECS179 Fall Quarter, UCI

Growing
thin films
Growing
thin films

Slide #8

Island formation
formation
Island
Condensation
site density increases
site
density
increases
SitesCondensation
merge together
forming
islands
merge
together
forming
islands
MassSites
transfer
(diffusion)
typically
occurs
Surface
is reduced
in the
process occurs
Massenergy
transfer
(diffusion)
typically

Surface energy is reduced in the process


Mark Bachman, EECS179 Fall Quarter, UCI

Slide #9

Growing
Growing
thin thin
filmsfilms

Steady
growth
Steady
state state
growth
crystal
Fine crystal

Fine
islandsislands
mergemerge
mobility
High mobility
large grains

High
large grains
treatment
Heat treatment
(anneal)
can increase
grain size

Heat
(anneal)
can increase
grain size

Mark Bachman, EECS179 Fall Quar


Mark Bachman, EECS179 Fall Quarter, UCI

Slide #10

FilmFilm
growth
at different
pressures
andand
energy
growth
at different
pressures
energy
Atmospheric
pressure
Atmospheric
pressure
CVD CVD
Fast Fast
growth
(>1000
/min)

growth
rate rate
(>1000
/min)
Difficult
Difficult
to control

to control
PoorPoor
uniformity

uniformity
pressure
Low Low
pressure
CVD CVD LPCVD
Low Low
pressures
(~1 Torr)

pressures
(~1 Torr)
SlowSlow
growth
/min)

growth
rate rate
(~100(~100
/min)
GoodGood
uniformity
and coverage
(usually)

uniformity
and coverage
(usually)
pressure
Low Low
pressure
CVD CVD PECVD
Low Low
pressures
(~1 Torr
or less)

pressures
(~1 Torr
or less)
Reaction
Reaction
energy
supplied
by plasmalow temperature
low temperature

energy
supplied
by plasma
GoodGood
uniformity
and coverage
(usually)

uniformity
and coverage
(usually)
Typically
Typically
less dense
LPCVD

less dense
film film
than than
LPCVD

Slide #10

Film growth at different pressures and energy


Atmospheric pressure CVD
LPCVD,
Fast w
growth
rate (>1000 /min)
hile it can only be performed at high temperatures, reduces the rate
of Difficult
to pcontrol
the reac?on
ermiTng greater control over lm thickness and reducing
thickness
Poor uniformity
varia?ons. It also improves the lms purity and internal structure.
The simplicity of the process permits the processing of large wafer batch
Low
pressure CVD
sizes.
Low pressures (~1 Torr)
Slow growth rate (~100 /min)
PECVD
Goodpermits
uniformity
andreac?ons
coverage
(usually)
energe?c
at low
temperatures (even 100C in some
cases), due to the forma?on of cold plasma by electrical ioniza?on rather than
thermal
ioniza?on,
Low
pressure
CVD so that only the electrons are energe?c while the ions
ela?vely cool.
ut the or
process
remain
Low rpressures
(~1BTorr
less) is more complicated than LPCVD, so
sizes are
small. supplied by plasma
batch
Reaction
energy
low temperature

Good uniformity and coverage (usually)


Typically less dense film than LPCVD

Example recipe: LPCVD and PECVD silicon o


Slide #11

Example recipe: LPCVD and PECVD silicon oxide


LPCVD

LPCVD
SiH4(g) + O2(g) + heat
SiH4(g) + O2(g) + heat

SiO2(s) + 2H2(g)

SiO2(s) + 2H2(g)

300
500atmT
300
- 500-mT
450 at
C 450 C

PECVD
PECVD
SiH
(g) +(g)
N O (g) + plasma
SiO2(s) + 2N
+ 2H2(g) (g) + 2H (g)
2(g)
4SiH
SiO
4 2 + N2O (g) + plasma
2(s) + 2N
2
2

Addition of 3%-5% phosphine gas for doped PSG.

Addition of 3%-5% phosphine gas for doped PSG.

Lower flow temperature

Lower
flow
temperature
Faster
attack
by HF
Dopant
source
is toxic
Faster
attack
by HF

Dopant source is toxic

Mark Bachman, EECS179 Fall Quarter, UCI

Example recipe: LPCVD and PECVD silicon nitride


Slide #12

Example recipe: LPCVD and PECVD silicon nitride


LPCVD
LPCVD

3SiH
Cl (g) + 4NH3(g)
+ heatSi NSi(s)
+ 6HCl (g) + 6H2(g)
3 N+
4 (s)
3SiH22 Cl22(g) + 4NH3(g)
+ heat
6HCl (g) + 6H2(g)
3 4
300-500
mTatat700-900
700-900
300-500 mT
C C
High
stress(1-3
(1-3GPa),
GPa),
strong
films
High stress
strong
films
Low stress
adjustment
of SiH
Cl2 : NH
ratio
Low
stressrequires
requires
adjustment
of2 SiH
2 Cl23 : NH3 ratio

PECVD
PECVD
3SiH4(g) + 4NH3(g) + plasma

3SiH4(g) + 4NH3(g) + plasma

Si3 N4 (s) + 12H2(g)

Si3 N4 (s) + 12H2(g)

Stoichiometry can be adjusted via gas ratios to produce Six Ny

Stoichiometry can be adjusted via gas ratios to produce Six Ny


Mark Bachman, EECS179 Fall Quarter, UCI

Example recipe: LPCVD and PECVD polysilico


Slide #13

Example recipe: LPCVD and PECVD polysilicon


LPCVD

LPCVD
SiH4 (g) + heat
SiH4 (g) + heat

Si (s) + 2H2 (g)

Si (s) + 2H2 (g)

300-500
mT
500-700
300-500 mT
at at
500-700
C C
3-15 nm/min
3-15
nm/min
Polycrystalline

Polycrystalline
PECVD

PECVD
SiH4 (g) + heat

Si (s) + 2H2 (g)

Amorphous
silicon, needs
heat
anneal.
SiH
Si (s)
+ 2H
4 (g) + heat
2 (g)

Amorphous silicon, needs heat anneal.


Not very conducting unless doped.

Not very conducting unless doped.


Mark Bachman, EECS179 Fall Quarter, UCI

Slide #14

Physical vapor deposition

Physical vapor deposition

Physical vapor deposition: No chemical reactions

Physical vapor deposition: No chemical reactions


Materials in the vapor phase are physically introduced to the
substrate

Materials in the vapor phase are physically introduced to the


substrate
No chemical reaction occurs
Change of state occurs (condensation)
No
chemical reaction occurs
Can be deposited on variety of different surfaces

Change of state occurs (condensation)

Good for thin films which cannot be easily grown with CVD such
Can
be deposited on variety of different surfaces
as metals

Good for thin films which cannot be easily grown with CVD such
as metals

Mark Bachman, EECS179 Fall Quarter, UCI

Physical
vapor
deposition:
metallization
Physical vapor deposition: metallization

Slide #15

Vacuum
Vacuumevaporation
evaporation
Targetmaterial
material is
is heated
heated to
point
Target
tomelting
melting
point
Atomsleave
leavetarget
target as
as vapor
Atoms
vapor
Vacuum allows atoms to go directly to substrate
Vacuum
allows atoms to go directly to substrate

Sputtering

Sputtering

Plasma gas strikes target material and disassociates atoms from


Plasma
target.gas strikes target material and disassociates atoms from

target.

Target atoms adsorb on desired substrate

Target atoms adsorb on desired substrate

Slide
Slide #16
#16

Metallizationby
byevaporation
evaporation
Metallization

E-beamevaporation
evaporation
E-beam

Evaporation chamber
Evaporation chamber

Thermal evaporation
Thermal evaporation

Mark Bachman, EECS179 Fall Quarter, UCI

Slid
Slide #18

Sputtering
Sputtering

Sputtering chamber

Sputtering chamber

Sputtering process

Sputtering process

Mark Bachman, EECS179 Fall Quarter, UCI

Coverage of vapor methods

Slide #19

Coverage of vapor methods

CVD gives better step coverage than sputtering or evaporation.

CVD gives better step coverage than sputtering or evaporation.

Mark Bachman, EECS179 Fall Quarter, UCI

Annealing of films

Slide #20

Annealing of films

Good reflow allows easier planarization.

Good reflow allows easier planarization.

Mark Bachman, EECS179 Fall Quarter, UCI

Using sacrificial materials


Using sacrificial materials

Repeated deposition, pattern,etch process


Sacrificial material (PSG) included
PSG removed
by HF etch
Repeated
deposition,
pattern,etch process
Stiction and
water damage
problematic!
Sacrificial
material
(PSG) are
included

PSG removed by HF etch


Stiction and water damage are problematic!

Slide #21

Sacrificial release: Stiction

Sacrificial release: Stiction

Removal of sacrificial material usually in solution


Surface tension pulls down structures
Removal of sacrificial material usually
Hydrogen bonding bonds structures
Surface
tension pulls
down structures
Methanol
displacement,
freeze-drying,
etc.
Hydrogen
bonding
bonds structures
Surface
treatments
attempted

in solution

Methanol displacement, freeze-drying, etc.


Surface treatments attempted

Slide #22

Releasedfilms:
films:stress
stress
Released

Slide #23

Thinfilms
films usually
usually have
Thin
have
compressive or tensile
compressive
or tensile
stress
stress
Thermal: Thermal mismatch
between substrate
film
Thermal:
Thermal and
mismatch

andgrain
film
between
Intrinsic: substrate
Dislocations,
boundaries, etc.

Intrinsic: Dislocations, grain


boundaries,
External: Externally
etc. applied
stress

External: Externally applied


stress

Mark Bachman, EECS179 Fall Quarter, UCI

Slide #24

Released
films:stress
stress
Released films:

Thin films usually have compressive or tensile stress

Thin
films orusually
compressive or tensile stress
Buckling
breaking have
can occur

Wafer curvature measurements

Buckling
or breaking can occur
On-board sensors
Wafer curvature measurements
On-board sensors
Mark Bachman, EECS179 Fall Quarter, UCI

Silicon on Insulator
Silicon on Insulator

Common SOI techniques

SOI techniques
Common
SIMOX: Separated
by IMplanted Oxygen
BESOI: Back Etched SOI

SIMOX: Separated by IMplanted Oxygen


BESOI: Back Etched SOI

Slide #25

Slide #31

Some examples of surface micromachining


Which of these were made using surface micromachining?

Different types of MEMS devices.

Mark Bachman, EECS179 Fall Quarter, UCI

Slide #32

Some examples of surface micromachining


Which of these were made using surface micromachining?

Different types of MEMS devices.

Mark Bachman, EECS179 Fall Quarter, UCI

You might also like