You are on page 1of 124

POLILIBRO SISTEMAS DIGITALES I

MARIO ALBERTO SESMA MARTINEZ


MIGUEL ANGEL TORRES DURAN
SISTEMAS DIGITALES I

OBJETIVO DE LA ASIGNATURA
Al trmino del curso, el alumno:
Comprender la importancia de la computadora como generadora de la era de la
informacin para acceder a sus bases tericas, desde el lgebra booleana y su
estructuracin con la lgica electrnica, para analizar funcionalmente los
circuitos representativos de cmputo
UNIDAD 1 INTRODUCCION A LOS SISTEMAS DE CMPUTO.
OBJETIVOS PARTICULARES DE LA UNIDAD
Al trmino de la unidad, el alumno:
- Har un recuento histrico de las etapas econmicas y sus relaciones con el
manejo de informacin.
- Reflexionar sobre los cambios de las eras agrcolas, industrial y de
informacin, y de los dinmicos y acelerados cambios que influyen en la
sociedad.
1.1 Historia de las computadoras
Por siglos los hombres han tratado de usar fuerzas y artefactos de diferente tipo
para realizar sus trabajos, para hacerlos mas simples y rpidos. La historia
conocida de los artefactos que calculan o computan, se remonta a muchos aos
antes de Jesucristo.
Dos principios han coexistido con la humanidad en este tema. Uno es usar
cosas para contar, ya sea los dedos, piedras, semillas, etc. El otro es colocar
esos objetos en posiciones determinadas. Estos principios se reunieron en el
baco, instrumento que sirve hasta el da de hoy, para realizar complejos
clculos aritmticos con enorme rapidez y precisin.
El baco Quiz fue el primer dispositivo mecnico de contabilidad que existi.
Se ha calculado que tuvo su origen hace al menos 5.000 aos y su efectividad
ha soportado la prueba del tiempo.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Esa necesidad de contar, que no es otra cosa que un trmino ms sencillo y
antiguo que computar, llevo al hombre a la creacin del primer dispositivo
mecnico conocido, diseado por el hombre para ese fin, surgi la primera
computadora el ABACO o SOROBAN.
En China y Japn, su construccin era de alambres paralelos que contenan las
cuentas encerrados en un marco, mientras en Roma y Grecia consista en una
tabla con surcos grabados.
A medida que fue avanzando la civilizacin, la sociedad fue tomando una forma
ms organizada y avanzada, los dispositivos para contar se desarrollaron,
probablemente presionados por la necesidad, y en diferentes pases fueron
apareciendo nuevos e ingeniosos inventos cuyo destino era calcular.
Leonardo da Vinci (1452-1519). Traz las ideas para una sumadora mecnica,
haba hecho anotaciones y diagramas sobre una mquina calculadora que
mantena una relacin de 10:1 en cada una de sus ruedas registradoras de 13
dgitos.
John Napier (1550-1617). En el Siglo XVII en occidente se encontraba en uso la
regla de clculo, calculadora basada en el invento de Napier, Gunther y
Bissaker. John Napier descubre la relacin entre series aritmticas y
geomtricas, creando tablas que l llama logaritmos. Edmund Gunter se encarga
de marcar los logaritmos de Napier en lneas. Bissaker por su parte coloca las
lneas de Napier y Gunter sobre un pedazo de madera, creando de esta manera
la regla de clculo. Durante ms de 200 aos, la regla de clculo es
perfeccionada, convirtindose en una calculadora de bolsillo, extremadamente
verstil. Por el ao 1700 las calculadoras numricas digitales, representadas por
el baco y las calculadoras anlogas representadas por la regla de clculo, eran
de uso comn en toda Europa.
Blas Pascal (1623-1662). El honor de ser considerado como el "padre" de la
computadora le correspondi al ilustre filsofo y cientfico francs quien siglo y
medio despus de Leonardo da Vinci invent y construy la primera mquina
calculadora automtica utilizable, precursora de las modernas computadoras.
Entre otras muchas cosas, Pascal desarroll la teora de las probabilidades,
piedra angular de las matemticas modernas. La pascalina funciona en base al
mismo principio del odmetro (cuenta kilmetros) de los automviles, que dicho
sea de paso, es el mismo principio en que se basan las calculadoras mecnicas
antecesoras de las electrnicas, utilizadas no hace tanto tiempo. En un juego de
ruedas, en las que cada una contiene los dgitos, cada vez que una rueda
completa una vuelta, la rueda siguiente avanza un dcimo de vuelta.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
A pesar de que Pascal fue enaltecido por toda Europa debido a sus logros, la
Pascalina, result un desconsolador fallo financiero, pues para esos momentos,
resultaba ms costosa que la labor humana para los clculos aritmticos.
Gottfried W. von Leibnitz (1646-1717). Fu el siguiente en avanzar en el diseo
de una mquina calculadora mecnica. Su artefacto se bas en el principio de la
suma repetida y fue construida en 1694. Desarroll una mquina calculadora
automtica con capacidad superior a la de Pascal, que permita no solo sumar y
restar, sino tambin multiplicar, dividir y calcular races cuadradas. La de Pascal
solo sumaba y restaba. Leibnitz mejoro la mquina de Pascal al aadirle un
cilindro escalonado cuyo objetivo era representar los dgitos del 1 al 9. Sin
embargo, aunque el merito no le corresponda a l (pues se considera
oficialmente que se inventaron ms tarde), se sabe que antes de decidirse por el
cilindro escalonado Leibnitz consider la utilizacin de engranajes con dientes
retrctiles y otros mecanismos tcnicamente muy avanzados para esa poca. Se
le acredita el haber comenzado el estudio formal de la lgica, la cual es la base
de la programacin y de la operacin de las computadoras.
Joseph-Marie Jackard (1753-1834). El primer evento notable sucedi en el 1801
cuando el francs, Joseph Jackard, desarroll el telar automtico. Jackard tuvo
la idea de usar tarjetas perforadas para manejar agujas de tejer, en telares
mecnicos. Un conjunto de tarjetas constituan un programa, el cual creaba
diseos textiles.
Aunque su propsito no era realizar clculos, contribuy grandemente al
desarrollo de las computadoras. Por primera vez se controla una mquina con
instrucciones codificadas, en tarjetas perforadas, que era fcil de usar y requera
poca intervencin humana; y por primera vez se utiliza un sistema de tarjetas
perforadas para crear el diseo deseado en la tela mientras esta se iba tejiendo.
El telar de Jackard opera de la manera siguiente: las tarjetas se perforan
estratgicamente y se acomodan en cierta secuencia para indicar un diseo de
tejido en particular. Esta mquina fue considerada el primer paso significativo
para la automatizacin binaria.
Charles Babbage (1793-1871). Profesor de matemticas de la Universidad de
Cambridge, Inglaterra, desarrolla en 1823 el concepto de un artefacto, que l
denomina "mquina diferencial". La mquina estaba concebida para realizar
clculos, almacenar y seleccionar informacin, resolver problemas y entregar
resultados impresos. Babbage imagin su mquina compuesta de varias otras,
todas trabajando armnicamente en conjunto: los receptores recogiendo
informacin un equipo transfirindola; un elemento almacenador de datos y
operaciones, y finalmente una impresora entregando resultados. Pese a su
increble concepcin, la mquina de Babbage, que se pareca mucho a una
computadora, no lleg jams a construirse. Los planes de Babbage fueron
demasiado ambiciosos para su poca. Este avanzado concepto, con respecto a

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
la simple calculadora, le vali a Babbage ser considerado como el precursor de
la computadora.
La novia de Babbage, Ada Augusta Byron, luego Condesa de Lovelace, hija del
poeta ingls Lord Byron, que le ayuda en el desarrollo del concepto de la
Mquina Diferencial, creando programas para la mquina analtica, es
reconocida y respetada, como el primer programador de computadoras. La
mquina tendra dos secciones fundamentales: una parte donde se realizaran
todas las operaciones y otra donde se almacenara toda la informacin
necesaria para realizar los clculos, as como los resultados parciales y finales.
El almacn de datos consistira de mil registradoras con un nmero de 50 dgitos
cada una; estos nmeros podran utilizarse en los clculos, los resultados se
podran guardar en el almacn y los nmeros utilizados podran transferirse a
otras ubicaciones.

La mquina controlara todo el proceso mediante la utilizacin de tarjetas


perforadas similares a las inventadas por Jackard para la creacin de diseos de
sus telares, y que hasta hace muy poco se utilizaban regularmente.
Babbage no pudo lograr su sueo de ver construida la mquina, que haba
tomado 15 aos de su vida entre los dos modelos, pero vio un equipo similar
desarrollado por un impresor sueco llamado George Scheutz, basado en su
mquina diferencial.
Babbage colabor con Scheutz en la fabricacin de su mquina e inclusive
influy todo lo que pudo, para que esta ganara la Medalla de Oro Francesa en
1855.
George Boole Trabajo sobre las bases sentadas por Leibnitz, quien preconiz
que todas las verdades de la razn se conducan a un tipo de clculo, para
desarrollar en 1854, a la edad de 39 aos, su teora que redujo la lgica a un
tipo de lgebra extremadamente simple. Esta teora de la lgica construy la
base del desarrollo de los circuitos de conmutacin tan importantes en telefona
y en el diseo de las computadoras electrnicas.
En su carrera como matemtico, Boole tiene a su crdito tambin haber
descubierto algo que se considera que fue indispensable para el desarrollo de la
teora de la relatividad de Einstein: las magnitudes constantes. Los
descubrimientos matemticos de George Boole, que llevaron al desarrollo del
sistema numrico binario (0 y 1) constituyeron un hito incuestionable a lo largo
del camino hacia las modernas computadoras electrnicas. Pero adems de la
lgica, el lgebra de Boole tiene otras aplicaciones igualmente importantes,
entre ellas la de ser el lgebra adecuada para trabajar con la teora combinatoria
de la operacin de unin e interseccin. Tambin, siempre en este campo, al

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
considerar la idea del nmero de elementos de un conjunto, el lgebra de Boole
constituye la base de la Teora de las Probabilidades.
Claude Elwood Shanon A l se debe el haber podido aplicar a la electrnica - y
por extensin a las computadoras - los conceptos de la teora de Boole. Shanon
hizo sus planteamientos en 1937 en su tesis de grado para la Maestra en
Ingeniera Elctrica.
En su tesis, Shanon sostena que los valores de verdadero y falso planteados en
el lgebra lgica de Boole, se correspondan con los estados 'abierto' y 'cerrado'
de los circuitos elctricos. Adems, Shanon defini la unidad de informacin, et
bit, lo que consecuentemente constituy la base para la utilizacin del sistema
binario de las computadoras en lugar del sistema decimal.
William Burroughs Naci el 28 de enero de 1857. La monotona del trabajo y la
gran precisin que se necesitaba en los resultados de los clculos fue lo que
decidi a William Burroughs a intentar construir una mquina calculadora precisa
y rpida. Sus primeros pasos en este sentido los dio en 1882, pero no fue hasta
casi veinte aos despus que su esfuerzo se vio coronado por el xito.
Las primeras mquinas compradas por los comerciantes tuvieron que recogerse
rpidamente, puesto que todas, presentaban defectos en el funcionamiento.
Este nuevo fracaso fue el paso final antes de perfeccionar definitivamente su
modelo al cual llam Maquina de sumar y hacer listas.
A pesar de otro sin nmero de dificultades en promocin y mercado de su nueva
mquina, poco a poco este modelo se fue imponiendo, de modo que luego de
dos aos ya se vendan a razn de unas 700 unidades por ao. William
Burroughs, fue el primer genio norteamericano que contribuy grandemente al
desarrollo de la computadora
Herman Hollerith Las tarjetas perforadas. Uno de los hitos ms importantes en el
proceso paulatino del desarrollo de una mquina que pudiera realizar complejos
clculos en forma rpida, que luego llevara a lo que es hoy la moderna
computadora, lo constituy la introduccin de tarjetas perforadas como elemento
de tabulacin. Este histrico avance se debe a la inventiva de un ingeniero
norteamericano de ascendencia alemn: Herman Hollerith. La idea de utilizar
tarjetas perforadas realmente no fue de Hollerith, sino de John Shaw Billings, su
superior en el Bur del Censo, pero fue Hollerith quien logr poner en prctica la
idea que revolucionara para siempre el clculo mecanizado. El dise un
sistema mediante el cual las tarjetas eran perforadas para representar la
informacin del censo. Las tarjetas eran insertadas en la mquina tabuladora y
sta calculaba la informacin recibida. Hollerith no tom la idea de las tarjetas
perforadas del invento de Jackard, sino de la "fotografa de perforacin" Algunas
lneas ferroviarias de la poca expedan boletos con descripciones fsicas del

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
pasajero; los conductores hacan orificios en los boletos que describan el color
de cabello, de ojos y la forma de nariz del pasajero. Eso le dio a Hollerith la idea
para hacer la fotografa perforada de cada persona que se iba a tabular. Hollertih
fund la Tabulating Machine Company y vendi sus productos en todo el mundo.
La demanda de sus mquinas se extendi incluso hasta Rusia. El primer censo
llevado a cabo en Rusia en 1897, se registr con el Tabulador de Hollerith. En
1911, la Tabulating Machine Company, al unirse con otras Compaas, form la
Computing-Tabulating-Recording-Company.
Konrad Zuse Naci en Berln, Alemania, en 1910. EN 1938, Zuse ya haba
desarrollado una notacin binaria que aplic a los circuitos de rieles
electromagnticos que utilizara ms tarde en su serie de computadoras. El
primer modelo construido por Konrad Zuse en 1939, fabricado por completo en
la sala de su casa sin ayuda por parte de ninguna agencia gubernamental o
privada, era un equipo completamente mecnico. Este modelo fue bautizado con
el nombre de V-1 (V por Versuchmodel o Modelo Experimental). La intencin
principal de Zuse al tratar de desarrollar estos equipos era proporcionar una
herramienta a los cientficos y tcnicos para resolver la gran cantidad de
problemas matemticos involucrados en todas las ramas cientficas y tcnicas.
Alfred Teichmann, uno de los principales cientficos que prestaba servicios en el
Instituto Alemn de Investigaciones Areas, tuvo conocimiento de los trabajos de
Zuse con respecto a las computadoras en una visita que hizo a la casa de ste.
All vio por primera vez el modelo V-2 y qued inmediatamente convencido de
que mquinas como esa eran las que se necesitaban para resolver algunos de
los problemas ms graves que se estaban presentado en el diseo de los
aviones.
Con la ayuda de Teichmann, Zuse logr conseguir fondos que le permitieron
continuar con sus investigaciones un poco ms holgadamente, aunque siempre
en la sala de su casa, y as surgi, con la colaboracin activa de Schreyer, la V3, la primera computadora digital controlada por programas y completamente
operacional. Este modelo constaba con 1.400 rieles electromagnticos en la
memoria, 600 para el control de las operaciones aritmticas y 600 para otros
propsitos.
Durante la Segunda Guerra Mundial Wernher von Braun, eminente cientfico
alemn, desarroll un tipo de bombas cohete denominadas V-1 y V-2, muy
celebres sobre todo por el papel que jugaron en los ataques alemanes contra el
puerto de Amberes (Blgica) y Londres (Inglaterra). Para evitar confusin con
estas bombas, Zuse determin cambiar la denominacin de sus computadoras
que, en adelante, pasaron a conocerse como Z-1, Z-2, Z-3, etc.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
El modelo Z-3 desarrollado a finales de 1941 como una computadora de
propsito general, fue parcialmente modificada por Zuse con el objetivo de
apoyar el esfuerzo blico alemn. La nueva versin se denomin Z-4 y se utiliz
como elemento de teledireccin de una bomba volante desarrollada por la
compaa Henschel Aircraft Co., para la Luftwaffe. (Zuse niega que la Z-4 haya
sido diseada para este propsito).
En 1944, mientras Zuse trabajaba en la terminacin de la Z-4, se enter de la
presentacin en Estados Unidos de la Mark I de Aiken, la primera computadora
digital programable norteamericana.
En 1947, la Z-4 tena una capacidad de 16 palabras en la memoria, en 1949 la
capacidad haba aumentado hasta 64 palabras y en la dcada de los 50, la
memoria de la Z-4 poda contener 1024 palabras de 32 bits. Adems poda
multiplicar en un segundo y extraer raiz cuadrada en 5 segundos.
Adems de sus trabajos en la computadora, Konrad Zuse desarroll un idioma
prototipo al cual llam Plankalkul, en el cual anticip y resolvi varios de los
problemas que se abarcan hoy en el contexto de la teora de los algoritmos,
programacin estructurada y estructura de la programacin de idiomas para
computadoras.
Poco despus de terminada la guerra, ya establecido en suelo suizo, Konrad
Zuse estableci su propia compaa a la que denomino Zuse KG.. Hoy se
reconoce a Konrad Zuse como el creador de la primera computadora digital
programable completamente operacional.
Atanasoff Y Berry Una antigua patente de un dispositivo que mucha gente crey
que era la primera computadora digital electrnica, se invalid en 1973 por orden
de un tribunal federal, y oficialmente se le dio el crdito a John V. Atanasoff como
el inventor de la computadora digital electrnica. El Dr. Atanasoff, catedrtico de
la Universidad Estatal de Iowa, desarroll la primera computadora digital
electrnica entre los aos de 1937 a 1942. Llam a su invento la computadora
Atanasoff-Berry, solo ABC (Atanasoff Berry Computer).
En el edificio de Fsica de la Universidad de Iowa aparece una placa con la
siguiente leyenda: "La primera computadora digital electrnica de operacin
automtica del mundo, fue construida en este edificio en 1939 por John Vincent
Atanasoff, matemtico y fsico de la Facultad de la Universidad, quien concibi la
idea, y por Clifford Edward Berry, estudiante graduado de fsica."
MARK I (1944) Marca la fecha del la primera computadora, que se pone en
funcionamiento. Es el Dr. Howard Aiken en la Universidad de Harvard, Estados
Unidos, quien la presenta con el nombre de Mark I. Es esta la primera mquina
procesadora de informacin. La Mark I funcionaba elctricamente, las

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
instrucciones e informacin se introducen en ella por medio de tarjetas
perforadas. Los componentes trabajan basados en principios electromecnicos.
Este impresionante equipo meda 16 mts. de largo y 2,5 mts. de alto, contena
un aproximado de 800.000 piezas y ms de 800 Km. de cablero elctrico, pero
los resultados obtenidos eran igualmente impresionantes para la poca. Mark I
tena la capacidad de manejar nmeros de hasta 23 dgitos, realizando sumas
en menos de medio segundo, multiplicaciones en tres segundos y operaciones
logartmicas en poco ms de un minuto. Ahora s se haba hecho por fin realidad
el sueo de Pascal, Leibnitz, Babbage, Hollerith y muchos otros: la computadora
era una realidad.
A pesar de su peso superior a 5 toneladas y su lentitud comparada con los
equipos actuales, fue la primera mquina en poseer todas las caractersticas de
una verdadera computadora.
ENIAC (1946) La primera computadora electrnica fue terminada de construir en
1946, por J.P.Eckert y J.W.Mauchly en la Universidad de Pensilvania, U.S.A. y se
le llam ENIAC (Electronic Numerical Integrator And Computer), Integrador
numrico y calculador electrnico. La ENIAC construida para aplicaciones de la
Segunda Guerra mundial, se termin en 30 meses por un equipo de cientficos
que trabajaban bajo reloj. La ENIAC, mil veces ms veloz que sus predecesoras
electromecnicas, irrumpi como un importante descubrimiento en la tecnologa
de la computacin. Pesaba 30 toneladas y ocupaba un espacio de 450 mts
cuadrados, llenaba un cuarto de 6 mts x 12 mts y contena 18.000 bulbos, tena
que programarse manualmente conectndola a 3 tableros que contenan ms de
6000 interruptores. Ingresar un nuevo programa era un proceso muy tedioso que
requera das o incluso semanas. A diferencia de las computadoras actuales que
operan con un sistema binario (0,1) la ENIAC operaba con uno decimal (0,
1,2...9) La ENIAC requera una gran cantidad de electricidad. La ENIAC posea
una capacidad, rapidez y flexibilidad muy superiores a la Mark I. Comenzaba
entonces la tenaz competencia en la naciente industria, IBM desarroll en 1948
su computadora SSEC (Calculadora Electrnica de Secuencia Selectiva)
superior a la ENIAC.
Para 1951, la compaa Remington Rand, otra de las lderes en este campo,
presento al mercado su modelo denominado Univac, que gan el contrato para
el censo de 1951 por su gran capacidad, netamente superior a todas las dems
desarrolladas hasta el momento.
Pero para la recia personalidad de Thomas J. Watson, se le hacia difcil aceptar
que su compaa no fuera la principal en este campo, as que en respuesta al
desarrollo de la Univac, hizo que IBM construyera su modelo 701, una
computadora cientfica con una capacidad superior 25 veces a la SSEC y muy
superior tambin a la Univac.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
A la 701 siguieron otros modelos cada vez ms perfeccionados en cuanto a
rapidez, precisin y capacidad, los cuales colocaron a IBM como el lder
indiscutible de la naciente industria de las computadoras. Aunque en la
actualidad es difcil mencionar a una firma determinada como la primera en este
campo, es un hecho irrefutable que IBM continua siendo una de las principales
compaas en cuanto a desarrollo de computadoras se refiere.
Con ella se inicia una nueva era, en la cual la computadora pasa a ser el centro
del desarrollo tecnolgico, y de una profunda modificacin en el comportamiento
de las sociedades.
EDVAC (1947) (Eletronic Discrete-Variable Automatic Computer, es decir
computadora automtica electrnica de variable discreta) Desarrollada por Dr.
John W. Mauchly, John Presper Eckert Jr. y John Von Neumann. Primera
computadora en utilizar el concepto de almacenar informacin. Poda almacenar
datos e instrucciones usando un cdigo especial llamado notacin binaria. Los
programas almacenados dieron a las computadoras una flexibilidad y
confiabilidad tremendas, hacindolas ms rpidas y menos sujetas a errores que
los programas mecnicos. Una computadora con capacidad de programa
almacenado podra ser utilizada para varias aplicaciones cargando y ejecutando
el programa apropiado. Hasta este punto, los programas y datos podan ser
ingresados en la computadora slo con la notacin binaria, que es el nico
cdigo que las computadoras "entienden". El siguiente desarrollo importante en
el diseo de las computadoras fueron los programas intrpretes, que permitan a
las personas comunicarse con las computadoras utilizando medios distintos a
los nmeros binarios. En 1952 Grace Murray Hoper una oficial de la Marina de
EE.UU., desarroll el primer compilador, un programa que puede traducir
enunciados parecidos al ingls en un cdigo binario comprensible para la
maquina llamado COBOL (COmmon Business-Oriented Languaje).
EDSAC (1949) Desarrollada por Maurice Wilkes. Primera computadora capaz de
almacenar programas electrnicamente.
LA ACE PILOT (1950) Turing tuvo listos en 1946 todos los planos de lo que
posteriormente seria conocido como ACE Pilot (Automatic Calculating Engine)
que fue presentado pblicamente en 1950. La ACE Pilot estuvo considerada por
mucho tiempo como la computadora ms avanzada del mundo, pudiendo
realizar operaciones tales como suma y multiplicacin en cuestin de
microsegundos.
UNIVAC I (1951) Desarrollada por Mauchly y Eckert para la Remington-Rand
Corporation. Primera computadora comercial utilizada en las oficinas del censo
de los Estados Unidos. Esta mquina se encuentra actualmente en el
"Smithsonian Institute". En 1952 fue utilizada para predecir la victoria de Dwight
D. Eisenhower en las elecciones presidenciales de los Estados

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
1.2 Generaciones de las computadoras

Despus de esta breve historia de las computadoras seria conveniente conocer


acerca de:
GENERACINES DE LOS SISTEMAS OPERATIVOS
Los sistemas operativos, al igual que el hardware de las computadoras, han
sufrido una serie de cambios revolucionarios llamados generaciones. En el caso
del hardware, las generaciones han sido enmarcadas por grandes avances en
los componentes utilizados, pasando de vlvulas (primera generacin), a
transistores (segunda generacin), a circuitos integrados (tercera generacin), a
circuitos integrado de gran y muy gran escala (cuarta generacin). Cada
generacin sucesiva de hardware ha sido acompaada de reducciones
substanciales en los costos, tamao, emisin de calor y consumo de energa, y
por incrementos notables en velocidad y capacidad.

Generacin Cero (Dcada de 1940)

Los sistemas operativos han ido evolucionando durante los ltimos 40 aos a
travs de un nmero de distintas fases o generaciones que corresponden a
dcadas. En 1940, las computadoras electrnicas digitales ms nuevas no
tenan sistema operativo. Las Mquinas de ese tiempo eran tan primitivas que
los programas por lo regular manejaban un bit a la vez en columnas de switch's
mecnicos. Eventualmente los programas de lenguaje de mquina manejaban
tarjetas perforadas, y lenguajes ensamblador fueron desarrollados para agilizar
el proceso de programacin. Los usuarios tenan completo acceso al lenguaje de
la maquina.
Todas las instrucciones eran codificadas a mano.

Primera Generacin (Dcada de 1950)

Los sistemas operativos de los aos cincuenta fueron diseados para hacer ms
fluda la transmisin entre trabajos. Antes de que los sistemas fueran diseados,
se perda un tiempo considerable entre la terminacin de un trabajo y el inicio del
siguiente. Este fue el comienzo de los sistemas de procesamiento por lotes,
donde los trabajos se reunan por grupo o lotes. Cuando el trabajo estaba en
ejecucin, este tena control total de la mquina. Al terminar cada trabajo, el
control era devuelto al sistema operativo, el cual "limpiaba" y lea e inicia el
trabajo siguiente.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Al inicio de los aos 50 esto haba mejorado un poco con la introduccin de
tarjetas perforadas (las cuales servan para introducir los programas de
lenguajes de mquina), puesto que ya no haba necesidad de utilizar los tableros
enchufables. Esto se conoce como sistemas de procesamiento por lotes de un
slo flujo, ya que los programas y los datos eran sometidos en grupos o lotes. El
laboratorio de investigacin General Motors implement el primer sistema
operativo para la IBM 701.
La introduccin del transistor a mediados de los aos 50 cambi la imagen
radicalmente. Se crearon mquinas suficientemente confiables las cuales se
instalaban en lugares especialmente acondicionados, aunque slo las grandes
universidades y las grandes corporaciones o bien las oficinas del gobierno se
podan dar el lujo de tenerlas.
Para poder correr un trabajo (programa), tenan que escribirlo en papel (en
Fortran o en lenguaje ensamblador) y despus se perforara en tarjetas.
Enseguida se llevara la pila de tarjetas al cuarto de introduccin al sistema y la
entregara a uno de los operadores. Cuando la computadora terminaba el
trabajo, un operador se dirigira a la impresora y desprenda la salida y la llevaba
al cuarto de salida, para que la recogiera el programador.

Segunda Generacin (A mitad de la dcada de 1960)

La caracterstica de la segunda generacin de los sistemas operativos fue el


desarrollo de los sistemas compartidos con multiprogramacin, y los principios
del multiprocesamiento. En los sistemas de multiprogramacin, varios
programas de usuarios se encuentran al mismo tiempo en el almacenamiento
principal, y el procesador se cambia rpidamente de un trabajo a otro. En los
sistemas de multiprocesamiento se utilizan varios procesadores en un solo
sistema computacional, con la finalidad de incrementar el poder de
procesamiento de la mquina. La independencia de dispositivos aparece
despus. Un usuario que deseara escribir datos en una cinta en sistemas de la
primera generacin tenia que hacer referencia especfica a una unidad en
particular. En los sistemas de la segunda generacin, el programa del usuario
especificaba tan solo que un archivo iba a ser escrito en una unidad de cinta con
cierto nmero de pistas y cierta densidad. El sistema operativo localizaba,
entonces, una unidad de cinta disponible con las caractersticas deseadas, y le
indicaba al operador que montara la cinta en esa unidad.
El surgimiento de un nuevo campo: LA INGENIERA DEL SOFTWARE.
Los sistemas operativos desarrollados durante los aos 60 tuvieron una enorme
conglomeracin de software escrito por gente que no entenda el software,
tambin como el hardware, tena que ser ingeniero para ser digno de confianza,
entendible y mantenible.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Se desarrollaron sistemas compartidos, en la que los usuarios podan acoplarse
directamente con el computador a travs de terminales. Surgieron sistemas de
tiempo real, en que los computadores fueron utilizados en el control de procesos
industriales.

Tercera Generacin (1964 a mitad de la dcada de 1970)


Se inicia en 1964, con la introduccin de la familia de computadores
Sistema/360 de IBM. Los computadores de esta generacin fueron diseados
como sistemas para usos generales. Casi siempre eran sistemas grandes,
voluminosos. Eran sistemas de modos mltiples, algunos de ellos soportaban
simultneamente procesos por lotes, tiempo compartido, procesamiento de
tiempo real y multiprocesamiento. Eran grandes y costosos, nunca antes se
haba construido algo similar, y muchos de los esfuerzos de desarrollo
terminaron muy por arriba del presupuesto y mucho despus de lo que el
planificador marcaba como fecha de terminacin.
Estos sistemas introdujeron mayor complejidad a los ambientes
computacionales; una complejidad a la cual, en un principio, no estaban
acostumbrados los usuarios.
Sistemas de Tiempo Compartido
El CPU se comparte entre varios trabajos que se encuentran residentes en
memoria y en el disco (el CPU se asigna a un trabajo solo si ste esta en
memoria).
Un trabajo es enviado dentro y fuera del la memoria hacia el disco.
Existe comunicacin en-lnea entre el usuario y el sistema; cuando el sistema
operativo finaliza la ejecucin de un comando, busca el siguiente "estatuto de
control" no de una tarjeta perforada, sino del teclado del operador.
Existe un sistema de archivos en lnea el cual est disponible para los datos y
cdigo de los usuarios
Cuarta Generacin (Mitad de la dcada de 1970 a nuestros das)
Los sistemas de la cuarta generacin constituyen el estado actual de la
tecnologa.
. Con la ampliacin del uso de redes de computadores y del procesamiento en
lnea los usuarios obtienen acceso a computadores alejados geogrficamente a

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
travs de varios tipos de terminales. El microprocesador ha hecho posible la
aparicin de la computadora personal, uno de los desarrollos de notables
consecuencias sociales ms importantes de las ltimas dcadas
. La potencia del computador, que costaba varios cientos de miles de dlares al
principio de la dcada de 1960, hoy es mucho ms accesible. El porcentaje de la
poblacin que tiene acceso a un computador en el Siglo XXI es mucho mayor. El
usuario puede tener su propia computadora para realizar parte de su trabajo, y
utilizar facilidades de comunicacin para transmitir datos entre sistemas. La
aplicacin de paquetes de software tales como procesadores de palabras,
paquetes de bases de datos y paquetes de grficos ayudaron a la evolucin de
la computadora personal. La llave era transferir informacin entre computadoras
en redes de trabajo. El correo electrnico, transferencia de archivos, y
aplicaciones de acceso a bases de datos proliferaron. El modelo cliente-servidor
fue esparcido. El campo de ingeniera del software continu evolucionando con
una mayor confianza proveniente de los EE.UU. Los ambientes del usuario,
altamente simblicos, y orientados hacia las siglas de las dcadas de los
sesenta y setenta, fueron reemplazados, en la dcada de los ochenta, por los
sistemas controlados por men, los cuales guan al usuario a lo largo de varias
opciones expresadas en un lenguaje sencillo.

GENERACIONES DE LAS COMPUTADORAS


Primera Generacin (1951-1958)
Las computadoras de la primera Generacin emplearon bulbos para
procesar informacin. Los operadores ingresaban los datos y programas en
cdigo especial por medio de tarjetas perforadas. El almacenamiento interno se
lograba con un tambor que giraba rpidamente, sobre el cual un dispositivo de
lectura/escritura colocaba marcas magnticas. Esas computadoras de bulbos
eran mucho ms grandes y generaban ms calor que los modelos
contemporneos. El voltaje de los tubos era de 300v y la posibilidad de fundirse
era grande. Eckert y Mauchly contribuyeron al desarrollo de computadoras de la
1era Generacin formando una Cia. privada y construyendo UNIVAC I, que el
Comit del censo utiliz para evaluar el de 1950. La programacin en lenguaje
mquina, consista en largas cadenas de bits, de ceros y unos, por lo que la
programacin resultaba larga y compleja

Usaban tubos al vaco para procesar informacin.


Usaban tarjetas perforadas para entrar los datos y los programas.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Usaban cilindros magnticos para almacenar informacin e instrucciones
internas
En 1953 se comenz a construir computadoras electrnicas y su primera
entrada fue con la IBM 701.
Despus de un lento comienzo la IBM 701 se convirti en un producto
comercialmente viable. Sin embargo en 1954 fue introducido el modelo IBM 650,
el cual es la razn por la que IBM disfruta hoy de una gran parte del mercado de
las computadoras. Aunque caras y de uso limitado las computadoras fueron
aceptadas rpidamente por las Compaas privadas y de Gobierno. A la mitad
de los aos 50 IBM y Remington Rand se consolidaban como lderes en la
fabricacin de computadoras.
Segunda Generacin (1959-1964)
El invento del transistor hizo posible una nueva generacin de
computadoras, ms rpidas, ms pequeas y con menores necesidades de
ventilacin. Sin embargo el costo segua siendo una porcin significativa del
presupuesto de una Compaa. Las computadoras de la segunda generacin
utilizaban redes de ncleos magnticos en lugar de tambores giratorios para el
almacenamiento primario. Estos ncleos contenan pequeos anillos de material
magntico, enlazados entre s, en los cuales podan almacenarse datos e
instrucciones. Los programas de computadoras tambin mejoraron. El COBOL
desarrollado durante la 1era generacin estaba ya disponible comercialmente.
Los programas escritos para una computadora podan transferirse a otra con un
mnimo esfuerzo. El escribir un programa ya no requera entender plenamente el
hardware de la computadora. Las computadoras de la 2da Generacin eran
substancialmente ms pequeas y rpidas que las de bulbos, y se usaban para
nuevas aplicaciones, como en los sistemas para reservacin en lneas areas,
control de trfico areo y simulaciones para uso general. Las empresas
comenzaron a utilizar las computadoras en tareas de almacenamiento de
registros, como manejo de inventarios, nmina y contabilidad, la velocidad de las
operaciones ya no se mide en segundos sino en microsegundos (ms). Memoria
interna de ncleos de ferrita.
Instrumentos de almacenamiento: cintas y discos.
Mejoran los dispositivos de entrada y salida, para la mejor lectura de tarjetas
perforadas, se dispona de clulas fotoelctricas.
Introduccin de elementos modulares.
La marina de EE.UU. utiliz las computadoras de la Segunda Generacin para
crear el primer simulador de vuelo (Whirlwind I). HoneyWell se coloc como el

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
primer competidor durante la segunda generacin de computadoras. Burroughs,
Univac, NCR, CDC, HoneyWell, los ms grandes competidores de IBM durante
los aos 60 se conocieron como el grupo BUNCH
Tercera Generacin (1964-1971)
Circuitos integrados (chips)
Las computadoras de la tercera generacin emergieron con el desarrollo
de los circuitos integrados (pastillas de silicio) en las cuales se colocan miles de
componentes electrnicos, en una integracin en miniatura. Las computadoras
nuevamente se hicieron ms pequeas, ms rpidas, desprendan menos calor
y eran energticamente ms eficientes.
Multiprogramacin
Antes del advenimiento de los circuitos integrados, las computadoras
estaban diseadas para aplicaciones matemticas o de negocios, pero no para
las dos cosas. Los circuitos integrados permitieron a los fabricantes de
computadoras incrementar la flexibilidad de los programas, y estandarizar sus
modelos. La IBM 360 una de las primeras computadoras comerciales que us
circuitos integrados, poda realizar tanto anlisis numricos como administracin
procesamiento de archivos.
Las computadoras trabajaban a tal velocidad que proporcionaban la capacidad
de correr ms de un programa de manera simultnea (multiprogramacin).
Minicomputadora
Con la introduccin del modelo 360 IBM acapar el 70% del mercado,
para evitar competir directamente con IBM la empresa Digital Equipment
Corporation (DEC) redirigi sus esfuerzos hacia computadoras pequeas.
Mucho menos costosas de comprar y de operar que las computadoras grandes,
las minicomputadoras se desarrollaron durante la segunda generacin pero
alcanzaron su mayor auge entre 1960 y 1970.
Generalizacin de lenguajes de programacin de alto nivel
Compatibilidad para compartir software entre diversos equipos
Tiempo Compartido: Uso de una computadora por varios clientes a tiempo
compartido, pues el aparato puede discernir entre diversos procesos que realiza
simultneamente
Se desarrollaron circuitos integrados para procesar informacin.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Se desarrollaron los "chips" para almacenar y procesar la informacin.
Un "chip" es una pieza de silicio que contiene los componentes electrnicos en
miniatura llamados semiconductores..
Cuarta Generacin (1971-1982)
El microprocesador: El proceso de reduccin del tamao de los
componentes llega a operar a escalas microscpicas. La microminiaturizacin
permite construir el microprocesador, circuito integrado que rige las funciones
fundamentales del ordenador.
Las aplicaciones del microprocesador se han proyectado ms all de la
computadora y se encuentran en multitud de aparatos, sean instrumentos
mdicos, automviles, juguetes, electrodomsticos, el tamao reducido del
microprocesador de chips hizo posible la creacin de las computadoras
personales. (PC)
Memorias Electrnicas: Se desechan las memorias internas de los ncleos
magnticos de ferrita y se introducen memorias electrnicas, que resultan ms
rpidas. Al principio presentan el inconveniente de su mayor costo, pero este
disminuye con la fabricacin en serie.
Sistema de tratamiento de base de datos: El aumento cuantitativo de las bases
de datos lleva a crear formas de gestin que faciliten las tareas de consulta y
edicin. Los sistemas de tratamiento de base de datos consisten en un conjunto
de elementos de hardware y software interrelacionados que permiten un uso
sencillo y rpido de la informacin
En 1981, IBM devel su computador personal y, en 1984, Apple su Macintosh. A
medida que estas mquinas se hacan ms poderosas, se pudieron enlazar en
redes, lo cual eventualmente condujo al desarrollo de Internet. Otros de los
adelantos que se han desarrollado en esta generacin son el uso de interfaces
grficas (Windows y Mac OS), el mouse y aparatos porttiles.
Hoy en da las tecnologas LSI (Integracin a gran escala) y VLSI (integracin a
muy gran escala) permiten que cientos de miles de componentes electrnicos se
almacenen en un clip. Usando VLSI, un fabricante puede hacer que una
computadora pequea rivalice con una computadora de la primera generacin
que ocupara un cuarto completo.

Se minimizan los circuitos, aumenta la capacidad de almacenamiento.


Reducen el tiempo de respuesta.

Gran expansin del uso de las Computadoras.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Memorias electrnicas ms rpidas.

Sistemas de tratamiento de bases de datos.

Multiproceso.

1.3 Escalamiento: Micro-Mini-Mainframe


Supercomputadoras.
CATEGORAS DE LAS COMPUTADORAS
Supercomputadora
La supercomputadora es lo mximo en computadoras, es la ms rpida y,
por lo tanto, la ms cara. Cuesta millones de dlares y se hacen de dos a tres al
ao. Procesan billones de instrucciones por segundo. Son utilizadas para
trabajos cientficos, particularmente para crear modelos matemticos del mundo
real, llamados simulacin. Algunos ejemplos de uso son: exploracin y
produccin petrolera, anlisis estructural, dinmica de fluidos computacional,
fsica, qumica, diseo electrnico, investigacin de energa nuclear,
meteorologa, diseo de automviles, efectos especiales de pelculas, trabajos
sofisticados de arte, planes gubernamentales y militares y la fabricacin de
naves espaciales por computadoras. Ejemplo: Cray 1, Cray 2.
Mainframe
Los "mainframe" son computadoras grandes, ligeras, capaces de utilizar
cientos de dispositivos de entrada y salida. Procesan millones de instrucciones
por segundo. Su velocidad operacional y capacidad de procesar hacen que los
grandes negocios, el gobierno, los bancos, las universidades, los hospitales,
compaas de seguros, lneas areas, etc. confen en ellas. Su principal funcin
es procesar grandes cantidades de datos rpidamente. Estos datos estn
accesibles a los usuarios del "mainframe" o a los usuarios de las
microcomputadoras cuyos terminales estn conectados al "mainframe". Su costo
flucta entre varios cientos de miles de dlares hasta el milln. Requieren de un
sistema especial para controlar la temperatura y la humedad. Tambin requieren
de un personal profesional especializado para procesar los datos y darle el
mantenimiento. Ejemplo: IBM 360.
Minicomputadora

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
La minicomputadora se desarroll en la dcada de 1960 para llevar a cabo
tareas especializadas, tales como el manejo de datos de comunicacin. Son
ms pequeas, ms baratas y ms fciles de mantener e instalar que los
"mainframes". Usadas por negocios, colegios y agencias gubernamentales. Su
mercado ha ido disminuyendo desde que surgieron las microcomputadoras.
Ejemplos: PDP-1, PDP-11, Vax 20, IBM sistema 36.
Microcomputador
La microcomputadora es conocida como computadora personal o PC. Es la ms
pequea, gracias a los microprocesadores, ms barata y ms popular en el
mercado. Su costo flucta entre varios cientos de dlares hasta varios miles de
dlares. Puede funcionar como unidad independiente o estar en red con otras
microcomputadoras o como un terminal de un "mainframe" para expandir sus
capacidades. Puede ejecutar las mismas operaciones y usar los mismos
programas que muchas computadoras superiores, aunque en menor capacidad.
Ejemplos: MITS Altair, Macintosh, serie Apple II, IBM PC, Dell, Compaq,
Gateway, etc.
Tipos de microcomputadoras:
Desktop: Es otro nombre para la PC que est encima del escritorio.
Porttil: Es la PC que se puede mover con facilidad. Tiene capacidad limitada y
la mayora usa una batera como fuente de poder. Pesan entre 7Kg y 9Kg.
Laptop: La computadora "laptop" tiene una pantalla plana y pesa alrededor de 6
Kg.
Notebook La computadora "notebook" es ms pequea y pesa alrededor de
4Kg o menos..
. Palmtop: Es la computadora del tamao de una calculadora de mano. Utiliza
batera y puede ser conectada a la desktop para transferir datos

1.4 Desarrollo de la industria de cmputo


El desarrollo de la industria de computo es de los sectores industriales ms
dinmicos conviene enterarse del estado actual y cual es su proyeccin en el
futuro inmediato.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Ejercicio 1.- Se deja a los alumnos hacer una investigacin documental con
este tema.

UNIDAD 2 FUNDAMENTOS LGICOS


OBJETIVOS PARTICULARES DE LA UNIDAD
Al trmino de la unidad el alumno:
- Distinguir los aspectos tericos del lgebra booleana, su vinculacin con
dispositivos elctricos y las diferencias en representacin de datos numricos
concluyendo con ejemplos prcticos de aplicacin
2.1

Fundamentos lgicos.

En todas las reas de las actividades humanas, como son las comunicaciones,
electrodomsticos, entretenimiento, telefona, transportes, medicina, internet,
etc. los sistemas digitales se encuentran presentes, en esta unidad se
estudiaran los fundamentos lgicos que soportan estos sistemas.
2.1.1 Sistemas numricos y conversiones.
Una caracterstica de los sistemas digitales es su capacidad para manipular
elementos discretos de informacin. Todo conjunto restringido a un nmero finito
de elementos contiene informacin discreta. Ejemplos de conjuntos discretos
son los 10 dgitos decimales, las 28 letras del alfabeto, y las 64 casillas de un
tablero de ajedrez.
En casi todos los sistemas digitales actuales, las seales que se manejan
emplean slo dos valores discretos, por los que se les llama Binarios. Un digito
binario, se le llama Bit y este solo tiene dos valores: 0 y 1. Existe una
correspondencia entre los nmeros binarios que son por as decir, los que
entienden los sistemas digitales y los nmeros decimales que entendemos los
seres humanos.
Los nmeros decimales se expresan en lo que se conoce como Sistema
numrico posicional porque los dgitos del 0 al 9 adquieren valor diferente de
acuerdo a la posicin que ocupan en un numero decimal, por ejemplo el numero
N = 5278.36 representa una cantidad igual a 5 millares ms 2 centenas ms 7
decenas ms 8 unidades con 3 dcimas y 6 centsimas. Este nmero tambin
se puede expresar como:
N = 5278.36 =

5 x 103 + 2 x 102 + 7 x 101 +8 x 100 . 3 x10-1 + 6 x 10-2

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Notacin en la que se observa lo siguiente: Por convencin el nmero N se
representa normalmente por los coeficientes y se deducen las potencias de 10
por la posicin que ocupa cada coeficiente. La potencia se enumera a partir del
punto decimal de derecha a izquierda empezando con el numero 0 para los
nmeros enteros, y para los decimales de izquierda a derecha a partir del punto
decimal empezando con el numero -1. Se dice que el sistema decimal es base
10 porque usa 10 dgitos, del 0 al 9, y los coeficientes se multiplican por
potencias de 10. Al sistema que tenga dos dgitos, 0 y 1, y sus coeficientes se
multipliquen por potencias de 2 se le llama sistema de base 2 o nmeros
binarios, al sistema de 8 dgitos, de 0 al 7, y sus coeficientes se multipliquen por
potencias de 8 se le llama sistema de base 8 o nmeros octales y as
sucesivamente. En la tabla 1 se muestran las equivalencias entre sistemas
numricos de diferente base

TABLA 1. SISTEMAS DE NUMERACION EN DIFERENTES BASES


BASE 10

BASE 2
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25

BASE 8
0
1
10
11
100
101
110
111
1000
1001
1010
1011
1100
1101
1110
1111
10000
10001
10010
10011
10100
10101
10110
10111
11000
11001

BASE 16
0
1
2
3
4
5
6
7
10
11
12
13
14
15
16
17
20
21
22
23
24
25
26
27
30
31

0
1
2
3
4
5
6
7
8
9
A
B
C
D
E
F
10
11
12
13
14
15
16
17
18
19

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
26
11010
27
11011
28
11100
29
11101
30
11110
31
11111
32
100000
33
100001
34
100010
35
100011
36
100100
37
100101
38
100110
39
100111
40
101000
41
101001
42
101010
43
101011
44
101100
45
101101
46
101110
47
101111
48
110000
49
110001
50
110010

32
33
34
35
36
37
40
41
42
43
44
45
46
47
50
51
52
53
54
55
56
57
60
61
62

1
1B
1C
1D
1E
1F
20
21
22
23
24
25
26
27
28
29
2
2B
2C
2D
2E
2F
30
31
32

Para distinguir entre nmeros con diferente base, se encierran los coeficientes
en parntesis y se aade un subndice que indica la base empleada.
La conversin entre sistemas de base n a base 10 se efecta como se muestra
en los ejemplos siguientes:
Ejemplo 1

Convertir ( 2403 )7 (

)10

( 2403 )7 = 2x73 + 4x72 + 0x71 + 3x70 = 2x343 + 4x49 + 0x7 + 3x1 = (885)10
Ejemplo 2

Convertir (01 0011)2 (

)10

(01 0011)2 = 1x24 + 0x23 + 0x22 +1x21 + 1x20 = 1x16 + 1x2 + 1x1 = (19)10
Ejemplo 3 Convertir el nmero con decimales ( 63.125 )8 (
Primero se convierte la parte entera
( 63 )8 = 6x81 + 3x80 = 48 + 3 = (51)10
En seguida la parte decimal

)10

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
(0.125) = 1x8-1 + 2x8-2 + 5x8-3 = 1/8 + 1/64 + 1/512 = 0.1250 + .0156 + 0.0019
= 0.1425
Finalmente el numero se forma con la parte entera y la parte decimal
Por tanto ( 63.125)8 = (51.1425)10
Ejemplo 4
Convertir el decimal binario (0100.11) 2 (

)10

1 la parte entera
(100)2 =1x22 +0x21 + 0x20 . 1x2-1 + 1x2-2 = 1x4 + 0x 21+ 0x1 = 410
en seguida la parte decimal
( 0.11) = 1x2-1 + 1x2-2 = + = 0.500 + 0.250 = 0.750
Finalmente el numero se forma con la parte entera y la parte decimal
Por tanto ( 0100.11)2 = (4.750)10
La conversin de base 10 a base n, se explica fcilmente con los siguientes
ejemplos:
Ejemplo 5 Convertir el numero entero 1910 a numero binario
(19)10 (

)2

Solucin
Se divide el entero 19 entre el nmero de base a la que se quiere convertir, en
este ejemplo es entre 2, a fin de obtener entero y residuo, de la siguiente forma:
19/2 = 9 y residuo 1
9/2 = 4 y residuo 1
4/2 = 2 y residuo 0
2/2 = 1 y residuo 0
= 0 y residuo 1
se divide hasta que el entero resultante es 0.
El numero binario se forma tomando los residuos en orden de abajo hacia arriba
por tanto (19)10 (10011)2
Ejemplo 6 Convertir el numero entero 88510 a numero base 7
(885)10 (
Solucin

)7

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Se divide el entero 885 entre el nmero de base a la que se quiere convertir, en
este ejemplo es entre 7, a fin de obtener entero y residuo, de la siguiente forma:
885/7 = 126
126/7 = 18
18/7 = 2
2/7 = 0

y
y
y
y

residuo
residuo
residuo
residuo

3
0
4
2

El numero base 7 se forma tomando los residuos en orden de abajo hacia arriba
por lo tanto (885)10 (2403)7
Ejemplo 7 Convertir el numero decimal 0.53410 a numero base 2 con 8 Bits
(0.534)10 (

)2

Solucin
Como se trata de un nmero decimal, se multiplica por el nmero de base a la
que se quiere convertir, en este ejemplo es por 2, a fin de obtener entero y
decimal, de la siguiente forma:
0.534 x 2
0.068 x 2
0.136 x 2
0.272 x 2

=
=
=
=

1 . 068
0.136
0.272
0.544

entero
entero
entero
entero

1
0
0
0

0.544 x 2
0.088 x 2
0.176 x 2
0.352 x2

=
=
=
=

1.088
0.176
0.352
0.704

entero
entero
entero
entero

1
0
0
0

0.704 x 2 = 1.408

entero 1

Se termina de multiplicar en dos casos, cuando se obtenga decimal 0.0000


cuando se tenga el numero de bits deseado. En este ejemplo a 8 bits.
El numero base 2 o binario se forma tomando los enteros en orden de arriba
hacia abajo
por tanto (0.534)10 (1000 1000 )2 con 8 bits

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Ejemplo 8 Convertir ( 13.1250)10 (
(13)10 (

)2 con 8 bits en la parte decimal.

)2

Parte entera
13/2 = 6 y residuo 1
6/2 = 3 y residuo 0
3/2 = 1 y residuo 1
= 0 y residuo 1
por tanto (13)10 ( 1101 )2
Parte decimal ( 0.1250)2 (

)2

0.125 x 2 = 0.250
0.250 x 2 = 0.500
0.500 x 2 = 1.000
0.000 x 2 = 0.000

entero
entero
entero
entero

0
0
1
0

0.000 x 2 = 0.000
0.000 x 2 = 0.000
0.000 x 2 = 0.000
0.000 x 2 = 0.000

entero
entero
entero
entero

0
0
0
0

por tanto ( 0.1250 )2 ( 0.0010 0000 )2


Finalmente el numero se forma con la parte entera y la parte decimal
(13.1250)10 ( 1101. 0010 0000 )2 con 8 bits en la parte decimal.
Nmeros binarios con signo
La representacin de nmeros binarios con signo es particularmente importante
en los sistemas digitales, los nmeros positivos se representan con el primer bit
a la extrema izquierda con 0 que representa el signo +, seguido por la magnitud.
Pero para los nmeros binarios negativos se tienen tres formas diferentes de
representarlos. Se tiene un 1 a la extrema izquierda que representa el signo - ,
seguido de a).- la magnitud, b).- el complemento a 1 y c).- el complemento a 2
del numero binario por expresar.
Los nmeros con signo que se pueden representar dependen de la cantidad de
bits que se emplean, en la tabla 2 se muestran los nmeros binarios positivos y
negativos que se pueden representar con cuatro bits.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
TABLA 2 Representacin de nmeros binarios positivos y negativos utilizando 4
bits
Decima
l
-

8
7
6
5
4
3
2
1
0

+
+
+
+
+
+
+

1
2
3
4
5
6
7

Binario
Binario
Signo y
Complemento
magnitud a1

Binario
Complemento a 2

1 111
1 110
1 101
1 100
1 011
1 010
1 001

1 000
1 001
1 010
1 011
1 100
1 101
1 110

1 001
1 010
1 011
1 100
1 101
1 110
1 111

1 000
0 000

1 111
0 000

0 000

0 001
0 010
0 011
0 100
0 101
0 110
0 111

0 001
0 010
0 011
0 100
0 101
0 110
0 111

0 001
0 010
0 011
0 100
0 101
0 110
0 111

La representacin de nmeros binarios negativos la explicaremos con los


siguientes ejemplos.
Ejemplo 9 Representar el nmero (-17)10 en forma binaria con 8 bits, en las
formas a) signo y magnitud, b) complemento a 1 c) complemento a 2.
Solucin
a) Representacin de (-17)10 en forma binaria con signo y magnitud
La convencin para representar el bit de signo es 0 para el + y 1 para el -
en los tres casos, segn se puede observar en la tabla 2. Para la magnitud en
este caso basta con representarla con su valor en binario, esto es
(-17)10 ( 1001 0001 )2
b) Representacin de (-17)10 en forma binaria con complemento a 1 con 8 bits

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
La convencin para representar el bit de signo es como ya se dijo: 0 para el + y
1 para el - . Para expresar la parte de magnitud negativa primero es necesario
tomar su complemento a 1.
El complemento a 1 de un numero se obtiene cambiando todos los 1 del
numero por 0 y los 0 por 1 Esto es
(17)10 (0001 0001)2
Entonces el complemento a 1 de 0001 0001 es 1110 1110
Por lo tanto la Representacin de (-17)10 en forma binaria con complemento a
1 y con 8 bits, es 1110 1110
c) Representacin de (-17)10 en forma binaria con complemento a 2 y con 8
bits
Para expresar la parte de magnitud negativa primero es necesario tomar su
complemento a 2.
El complemento a 2 de un numero se obtiene tomando el complemento a 1 del
numero y despus sumando 1 al resultado, esto es:
Numero a complementar a 2
Complemento a 1 es
Sumar 1
Complemento a 2

0001 0001
1110 1110
+1
1110 1111

Entonces la representacin de (-17)10 en forma binaria con complemento a 2 y


con 8 bits es: 1110 1111
Operaciones de suma y resta con nmeros binarios
La operacin de suma binaria se puede realizar en base a la siguiente tabla
Tabla de suma con nmeros binarios

En esta tabla el ultimo cuadro se debe


0 y acarreo 1, su aplicacin se muestra
ejemplos:

0
1

0
1

1
1
0

Ejemplo 10 Sea M = 01011 y N = 00110 efectuar M+N


Solucion
M
01 11 01 1 1
N + 0 0 1 10
Q = 1 0 0 01

leer en 1 +1 resultado
con los siguientes

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Ejemplo 11 Sea A = 1110 0011 y B = 0010 1111 efectuar A+B
Solucion
A 0 11 1 01 01 01 11 1
B +00 10 1 1 1 1
C =01 01 0 0 1 0
Resta de nmeros binarios
La resta comnmente se realiza usando el complemento a 1 o el complemento
a 2, la forma de hacerlo es similar en ambos mtodos, al minuendo se le suma el
complemento que corresponda, teniendo cuidado en terminar la operacin
dependiendo de si existe acarreo o no. Se ilustra con los siguientes ejemplos:
Ejemplo 12 Sea A= (100)2 y B = (10)2 realizar A B usando complemento a
1.
Solucin: En primer termino los nmeros binarios se deben tener en el mismo
tamao de palabra es decir el mismo numero de bits.
A = 0100 y B = 0010, en seguida se obtiene el complemento a 1 del
sustraendo, en este ejemplo de B, que resulta ser 1101 y al minuendo A se le
suma el complemento a 1 de B
Comprobando en base 10
A

01 1 0 0

complemento a 1 de B + 1 1 0 1
-B
-2
1) 0 0 01 1
A B
2

+1
A B =
0 010
Se debe de observar en este ejemplo que existe acarreo es decir se excede el
tamao de la palabra en un bit 1), este se suma, obtenindose el valor de A B.
De aqu se puede generalizar como regla 1: cuando se realiza la resta de 2
numeros binarios usando complemento a 1, si existe acarreo en la suma parcial
este se suma, y el resultado es positivo.
Ejercicio 2. Determinar la regla 2 a seguir cuando se restan dos nmeros
binarios usando complemento a 1 y en la suma parcial no existe acarreo.
Ejemplo 13 Sea A= (100)2 y B = (10)2 realizar A B usando complemento a
2.
Solucin: Como ya se menciono en el ejemplo anterior los nmeros binarios a
restar deben tener el mismo tamao de palabra es decir el mismo numero de
bits.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
A = 0100 y B = 0010, en seguida se obtiene el complemento a 2
del sustraendo, en este ejemplo de B, que resulta ser 1110 y al minuendo A se
le suma el complemento a 2 de B
A
01 1 0 0
complemento a 2 de B + 1 1 1 0
1) 0 0 1 0
A B =

0 010

De aqu se puede generalizar como regla 3: cuando se realiza la resta de 2


nmeros binarios usando complemento a 2, si existe acarreo 1) en la suma
parcial este se desecha, y el resultado es positivo.
Ejercicio 3. Determinar la regla 4 a seguir cuando se restan dos nmeros
binarios usando complemento a 2 y en la suma parcial no existe acarreo.

2.2

lgebra booleana.

El lgebra booleana proporciona un mtodo sistemtico para la comprensin y


diseo de sistemas digitales en los que se utilicen dispositivos lgicos binarios,
por ejemplo conmutadores, relevadores y compuertas lgicas. Es una lgebra
apropiada para variables binarias que tengan solo dos valores, cerrado abierto, 0
y 1.
2.2.1 Teoremas.
Como en el caso del lgebra ordinaria, el lgebra booleana est estructurada
en un conjunto de supuestos fundamentales denominados axiomas o
postulados y en base a estos se construyen las reglas bsicas o teoremas del
lgebra booleana
2.2.2 Representacin elctrica.
Los postulados son suposiciones fundamentales que tambin se denominan
axiomas. El lgebra booleana se basa en 10 axiomas, a partir de los cuales
se definen los teoremas o reglas del lgebra.
Para su fcil comprensin se presentaran o ilustraran con el uso de
interruptores elctricos, con la convencin de que un interruptor abierto
representa el 0 lgico y un interruptor cerrado el 1 lgico. Tambin se
enumeraran los postulados por 5 parejas, debido a la dualidad que existe
encada par, esto es al cambiar en un postulado los 0 por 1 y la x por + se
obtiene el otro postulado dual. Lo mismo ocurre con los teoremas.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Postulado 1: 0 0 = 0
Dos interruptores abiertos conectados en serie dan por resultado un circuito
abierto.
Postulado 1b: 1 + 1 = 1
Dos interruptores cerrados conectados en paralelo dan por resultado un
circuito cerrado.
Postulado 2: 0 1 = 0
Un interruptor abierto conectado en serie con uno cerrado da por resultado un
circuito abierto.
Postulado 2b: 1 + 0 = 1
Un interruptor cerrado conectado en paralelo con uno abierto da por resultado
un circuito cerrado.
Postulado 3: 1 0 = 0
Un interruptor cerrado conectado en serie con uno abierto da por resultado un
circuito abierto
Postulado 3b: 0 + 1 = 1
Un interruptor abierto conectado en paralelo con otro cerrado da por resultado
un circuito cerrado.
Postulado 4: 1 1 = 1
Un interruptor cerrado conectado en serie con otro cerrado da por resultado un
circuito cerrado.
Postulado 4b: 0 + 0 = 0
Un interruptor abierto conectado en paralelo con otro abierto da por resultado un
circuito abierto.
Postulado 5: 0 = 1
Un interruptor que no esta abierto se encuentra cerrado.
Postulado 5b: 1 = 0
Un interruptor que no esta cerrado se encuentra abierto.
Teoremas del lgebra booleana
A continuacin se presentan los 10 postulados mas usados, la demostracin de
algunos de ellos se realiza en el apartado 2.2.5.
Teorema 1 Leyes conmutativas
Teorema 1: X Y = Y X
Teorema 1b: X + Y = Y + X

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Teorema 2 Leyes asociativas
Teorema 2: X (Y Z) = (X Y) Z
Teorema 2b: X + (Y + Z) = (X + Y) + Z
Teorema 3 Leyes Idempotentes
Teorema 3: X X = X
Teorema 3b: X + X = X
Teorema 4 Leyes de las identidades
Teorema 4: X 1 = X
Teorema 4b: X + 0 = X
Teorema 5 Leyes de los elementos nulos
Teorema 5: X 0 = 0
Teorema 5b: X + 1 = 1
Teorema 6 Leyes de los complementos
Teorema 6: X X = 0
Teorema 6b: X + X = 1
Teorema 7 Leyes de absorcin
Teorema 7: X + X Y = X
Teorema 7b: X (X + Y) = X
Teorema 8 Leyes distributivas
Teorema 8: X (Y + Z) = (X Y) + (X Z)
Teorema 8b: (X + Y) (X + Z) = X + Y Z
Teorema 9 Ley de doble negacin
X = X
Teorema 10 Leyes de De Morgan
Teorema 10: (Y + Z) = X Y
Teorema 10b: (X Y) = X + Y
Operaciones binarias
Las operaciones bsicas que se realizan en el lgebra booleana son NOT, AND
y OR, a partir de ellas se derivan todas dems. La operacin que realizan se
muestran con la tabla de verdad que es un listado sistemtico de todas las

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
posibles combinaciones de los valores 0s y 1s de las variables, incluyendo las
entradas y las salidas.

Figura 1 Diagrama esquemtico de las compuerta NOT, AND, OR, NAND y NOR
Representacin de las operaciones binarias con tablas de verdad

Entradas Salidas
a
NOT a
0
1
1
0

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Entradas Salida
b
a
b AND a
0
0
0
0
1
0
1
0
0
1
1
1

Ejercicio 6 Se deja al lector implementar las tablas para las compuertas NAND y
NOR

Entradas Salida
b
a
b OR a
0
0
0
0
1
1
1
0
1
1
1
1

2.2.3 Diagramas de Venn


.
La representacin con diagramas de Venn es una ilustracin grafica en base a la
teora de conjuntos, su aplicacin para compuertas lgicas como NOT, AND, OR
NAND o NOR es sencilla, pero no resulta practica en representaciones
complejas por lo que no es muy comn su uso. En el ejemplo 14 se muestran los
esquemas de algunas aplicaciones.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Ejemplo 14: Representacin en diagramas de Veen de las operaciones binarias
Q = X(X + Z) y X = XY + X

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
2.2.4 Compuertas lgicas.

Una de las principales ventajas de utilizar el lgebra booleana radica en que


las operaciones bsicas AND, OR y NOT tienen un equivalente directo en
trminos de circuitos. Estos circuitos reciben el nombre de compuertas lgicas
.
Las tres compuertas fundamentales reciben el mismo nombre que los
operadores, es decir, existen las compuertas AND, compuertas OR y
compuertas NOT. La ltima compuerta recibe el nombre ms usual de
inversor. En la figura 1 mostramos los smbolos, tanto tradicionales como
internacionales, aunque usaremos preferentemente los smbolos
tradicionales.
Con estas tres compuertas se puede implementar cualquier circuito lgico, pero
as mismo existen otras compuertas que resultan de la combinacin de una
compuerta AND con un inversor que se denomina NAND y la compuerta OR con
un inversor que se denomina NOR. En la figura 3.1 Se muestran los smbolos
tradicionales.
Es posible demostrar que con nicamente un tipo de compuertas ya sea NAND
o NOR se puede implementar cualquier funcin lgica. As
Una compuerta es universal si con solo este tipo de compuerta se puede
implementar cualquier funcin lgica.

Ejemplo 15.- Expresar solamente con compuertas NAND la expresin


Q = AB + AB
Solucion .- Conviene negar dos veces la exprecion Q, esto es:

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Q = Q = [ (AB + AB)] = [ (AB) (AB)]
En donde podemos visualizar las compuertas NAND, (AB) e (AB) para
finalmente efectuar el contenido de [ ] con otra compuerta.
Ejercicio 4 .-Se deja al lector hacer el diagrama esquemtico para el ejemplo 15

Ejemplo 16 Expresar solamente con compuertas NOR la expresin


Q = AB + AB
Solucin: Conviene negar dos veces a Q, esto es:
Q = Q = [ (AB + AB)]
En donde podemos visualizar que (AB + AB) es una compuerta NOR y teniendo
presente los teoremas de Dmorgan (x +y) = x + y , (xy) = x +y, es fcil ver
que AB tambin se puede representar con una compuerta NOR lo mismo que
AB
Ejercicio 5 .-Se deja al lector hacer el diagrama esquemtico para el ejemplo 16

Dentro de las compuertas, que no implementan un operador directo, se


encuentra la conocida como OR-exclusiva o XOR. Esta compuerta muestra la
siguiente funcionalidad: Y = A'B + AB'. La importancia radica en su amplio uso
en la aritmtica binaria, siendo la base de la suma. Tambin es muy usada en los
circuitos de deteccin y correccin de errores, implementando funciones de
comparacin y paridad. El sumador completo, su smbolo y tabla de
combinaciones se muestran en la figura 2

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Ejemplo 17

Diagrama esquemtico de un sumador completo

Entradas aCarreo C Suma S


X Y Z
C
S
0 0 0
0
0
0 0 1
0
1
0 1 0
0
1
0 1 1
1
0
1 0 0
0
1
1 0 1
1
0
1 1 0
1
0
1 1 1
1
1
Tabla de verdad para un sumador completo de 3 bit
Las operaciones binarias NOT, AND, OR NAND, NOR y EXOR y sus posibles
combinaciones o arreglos se fabrican con el nombre de compuertas lgicas y de
acuerdo con su tecnologa se identifican en dos grandes familias, la familia TTL
(Transistor Transistor Logic) y la familia CMOS (Complementary MOS)
Semiconductores a base de oxido y metal.
La familia TTL se caracteriza porque se maneja un voltaje de alimentacin de 5.1
V y corriente promedio del orden de los 10 mmA. Como caracterstica importante
es que se pueden manipular manualmente sin ninguna precaucin.
La Familia CMOS tiene un voltaje de operacin de 3.2 V y consume una
corriente promedio de 10 nanoA. Y se debe de tener la precaucin para
manipularlas de usar ropa de algodn y usar una pulsera conectada a potencial
de tierra a fin de evitar descargas elctricas que pueden destruir la compuerta
dada la poca corriente que soportan.
En la unidad V se presenta un estudio de las familias de compuertas con mayor
detalle.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

2.2.5 Aplicacin de teoremas del lgebra booleana.


La razn principal de esta lgebra y sus teoremas es su aplicacin en la
simplificacin de expresiones y funciones booleanas. Al igual que en el lgebra
ordinaria una funcin booleana puede escribirse de varias formas. As mismo
tiene sentido econmico y de confiabilidad reducir una funcin booleana, ya que
una forma sencilla conduce a sistemas ms elementales.

2.3

Funciones lgicas.

Un circuito lgico combinatorio es un conjunto de compuertas lgicas


interconectadas entre si, a fin de llevar a cabo una determinada funcin, su
salida depende nicamente del valor lgico de las entradas.
El resultado de disear un circuito lgico deber ser el obtener una funcin
lgica o ecuacin que describan la funcin de salida deseada, efectuada por
operaciones lgicas, esto se ilustrara con el siguientes ejemplo:
Ejemplo 18. Disear el circuito lgico combinatorio para un granjero que
tiene una cabra, un granero y que ronda el lugar un lobo, el granjero desea
construir un circuito que se alarme en cualquier situacin de peligro, aceptar
que se tienen sensores para cabra suelta, lobo rondando y para granero
abierto.
Solucin: Realizaremos el diseo en 4 pasos
1.- Definir el problema.
El circuito tendr 3 entradas C, G, y L , con una salida F alarma la cual tendr valor
1 cuando exista situacin de peligro ya sea porque la cabra se pueda comer el
grano o el lobo se coma la cabra. Se define a C, G y L como sigue:
Sea cabra = C, C=0 cabra en corral,

C = 1 cabra suelta

granero = G, G=0 granero cerrado,


lobo = L, L=0 lobo no esta,

C = 1 granero abierto

C = 1 lobo rondando

2.- Se propone la tabla de verdad, entendida como la relacin de valores


binarios que existe entre las entradas respecto a la funcin de salida, y que de
solucin al problema:

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

Entradas Salida minitermino


C G L Falarma
0 0 0
0
m0
0 0 1
0
m1
0 1 0
0
m2
0 1 1
0
m3
1 0 0
0
m4
1 0 1
1
m5
1 1 0
1
m6
1 1 1
1
m7
Se pone a 1 la posicin m5 porque de la lectura de las entradas se desprende
que la Cabra anda suelta y el Lobo ronda el lugar, Se pone a 1 la posicin m 6
porque la Cabra anda suelta y Granero esta abierto, finalmente se pone a 1 la
posicin m7 por la posicin obvia de peligro.
3.- Se obtienen la funcin lgica o ecuacin que describe la salida, la cual se
establece para cuando los valores de la funcin de salida F alarma son 1
Aqu conviene hacer las siguientes observaciones;

Se define minitermino cero = m0 = CGL = que es la combinacin de


entradas
0 0 0 , minitermino uno =m1= CGL = que es la combinacin de entradas 0
0 1 y as sucesivamente para cada una de las combinaciones de entrada.
En este ejemplo la funcin lgica o ecuacin que describe la salida
deseada, que suene la alarma en caso de peligro, ser la suma de los
miniterminos m5, m6, y m7
Se define como lgica positiva el tomar los valores lgicos 1 para formar
la ecuacin.
Falarma = m5+ m6+ m7 = C G L + C G L + C G L

Al formato de esta funcin Falarma se le denomina suma de miniterminos o suma


de productos
4.- Finalmente se dibuja el diagrama lgico de compuertas.
Para hacerlo se requieren 3 compuertas AND de tres entradas
y una compurta OR de dos entradas
Se deja al lector dibujar el diagrama esquemtico.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
El analizar una circuito lgico tiene como propsito obtener el conjunto de
funciones lgicas o ecuaciones que describen las operaciones booleanas que
lleva a cabo el circuito, esto lo explicaremos con el siguiente ejemplo.
Ejemplo 19 Analizar el circuito lgico mostrado y describir las funciones lgicas
o ecuaciones que describen las operaciones booleanas que lleva a cabo para
dar las salidas S y C.

Solucin en la primera compuerta AND tenemos xy , para la segunda AND


compuerta xy finalmente en la compuerta OR tenemos (xy + xy) = S
Para C tendremos C = xy
2.3.1 ARITMETICA DE FUNCIONES
Como ya se dijo resulta de particular importancia el reducir o simplificar las
funciones lgicas por el aspecto de costo, pues entre menos compuertas mas
econmico, pero tambin entre mas sencillo sea un circuito su desempeo
resulta mas confiable y ser ms fcil de construir.
Un mtodo de cmo simplificar funciones requiere de aplicar una estrategia para
hacerlo, se propone bsicamente :
Tratar de aplicar los postulados X+X=1 , X+1=1 , X+X =X pues de
esta forma se podrn reducir trminos.
Por observacin identificar los trminos que contengan variables como
X , X y que se puedan agrupar por factorizacin, es decir la propiedad
distributiva de la multiplicacin respecto a la suma.
Estar atentos en la aplicacin de otros teoremas, como X+XY=X
Tener mucho cuidado en la aplicacin de los teoremas de Dmorgan,
recordar que los trminos se niegan y el operador + (suma) cambia a
(multiplicacin) y viceversa.
Esto se explica con los ejemplos siguientes:

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Ejemplo 20. Reducir aplicando lgebra de boole la funcin alarma obtenida en
el ejemplo 14 y mostrar un diagrama esquemtico de las funciones original y
simplificada
Falarma = m5+ m6+ m7 = C G L + C G L + C G L
Solucin:
Se observa que los miniterminos (m5 , m7 ) y (m6 , m7) se pueden factorizar, para
sacar aprovecho de que tienen trminos con variables complementarias, esto es
m5 tiene a G y m7 tiene a G lo mismo para m6 y m7 que tienen a L y L
respectivamente.
Falarma = m5+ m6+ m7 = C G L + C G L + C G L + C G L
= (C G L + C G L) + (C G L + C G L)
= C L(G+G) + CG(L+L)
= C L(1) + CG(1)
= CL+CG
se deja al lector que identifique cuales postulados o teoremas se aplicaron en
cada paso

Ejemplo 21 Simplifique las funciones booleanas al menor numero de literales:


1.- x(x + y) + xyz = xx + xy + xyz = 0 + xy +xyz = xy + xyz = xy
2.- xy + xz + yz = xy + xz + yz(1) = xy + xz + yz(x + x)
= xy +xz + yzx +yzx
=xy + xz + xyz + xyz
= xy(1+z) + xz(1+y)
= xy + xz
3.- (x + y)(x +y) = xx +xy +xy + yy = x + xy +xy + 0 = x
se deja al lector que identifique cuales postulados o teoremas se aplicaron en
cada paso

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
El complemento de una funcin F es F y su valor ,se obtiene
intercambiando ceros por unos y unos por ceros, pero cuando la funcin se
expresa con trminos, el complemento se encuentra algebraicamente
aplicando los teoremas de Dmorgan que es conveniente leerlos asi:
(x+y)= xy El complemento de la suma de dos trminos es igual a el
primer termino negado por el segundo termino negado.
(xy) = x + y El complemento de un producto de trminos es igual a la
suma del primer termino negado + el segundo termino negado
Ejemplo 22 Obtener el complemento de las funcines F = xyz + xyz y
Q=x(yz+yz) aplicando los teoremas de Dmorgan tantas veces como sea
necesario
F = (xyz + xyz) = (xyz) (xyz) = (x+y+z)( x+y+z)
Q = [x(yz+yz) ] = x + (yz+yz) = x + (yz)(yz)=
= x + (y+z)(y+z)
Un procedimiento mas sencillo para obtener el complemento de una funcin es
aplicar el principio de dualidad que estable que toda expresin algebraica que
pueda deducirse de los postulados del lgebra booleana seguir siendo valida
si se intercambian los operadores y los elementos de identidad. Si se quiere
obtener el dual de una funcin simplemente se intercambian los operadores
OR y AND y se sustituyen los unos por ceros y los ceros por unos.
Para obtener el complemento de una funcin se intercambian los operadores OR
y AND y se complementa cada literal.
Ejemplo 23 Aplicando el principio de dualidad, obtener el complemento de las
funcines:
(a).- F = xyz + xyz
(b).- Q = x(yz+yz)
F = (xyz + xyz) = (x+y+z)(x+y+z)
(b).-

Q = [x(yz+yz) ] = x + (y+z)(y+z)

Ejemplo 24 Aplicar los teoremas de De Morgan a las expresiones:


(a).- [(A+B)+c]
(b).- [ (A+B) + CD]
(c).- [(A+B)CD+E+F]
Solucion.(a) [(A+B)+C] = (A+B)C= (A+B)C
(b) [ (A+B) + CD] =(A+B)(CD)= AB(C+D)

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
(c).- [(A+B)CD+E+F]= [(A+B)CD](E+F)= [(A+B)+(CD)](EF)
=(AB+C+D)EF

2.3.2 Tablas de verdad.


Todas las expresiones booleanas pueden ser convertidas a tablas de verdad
utilizando los valores binarios de cada trmino de la expresin. La tabla de
verdad es un formato muy comn y conciso para expresar el funcionamiento
lgico de un circuito pues es cmodo para ver el valor de las entradas y el valor
de salida resultante en funcin de los miniterminos, a partir de la tabla se puede
generar la ecuacin que define el comportamiento del circuito, como ya se hizo
presente en el ejemplo 18. Su aplicacin resulta lenta en su desarrollo, pero es
fcil de implementar tanto para analizar un circuito como para su diseo.
Ejemplo 25
Desarrollar una tabla de verdad para la expresin F = abc +abc + abc
Solucin. De la expresin algebraica podemos observar que la funcin F la
podemos expresar con miniterminos como F = m001 + m110 + m111 = m1 + m6 +
m7 por lo que la tabla de verdad es
Entradas Salida minitermino
a b c
F
0 0 0
0
m0
0 0 1
1
m1
0 1 0
0
m2
0 1 1
0
m3
1 0 0
0
m4
1 0 1
0
m5
1 1 0
1
m6
1 1 1
1
m7
Tabla de verdad de F = abc +abc + abc = m1 + m6 + m7
Ejemplo 26. Representar en tabla de verdad la funcin lgica Q BA = BA + B A
Solucin. Expresamos la funcin Q en miniterminos, esto es
QBA= m01+ m10 = m1+ m2

EENTRADAS

QBA

minitermino

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
B
A
0
0
0
1
1
0
1
1

0
1
1
0

m0
m1
m2
m3

Tabla de verdad de QBA = BA + B A= m1 + m2


Ejemplo 27. Representar en tabla de verdad la funcin lgica
QDCBA = BA + DCB+DBA
Solucin. Acompletamos con X las variables faltantes en la funcin , esto es:
QDCBA = XXBA + DCBX + DXBA
Observar que las literales deben de estr ordenadas en cada termino, en este
caso el orden es DCBA
En la tabla de verdad valoramos cada termino por separado, ponemos 1 para
las entradas XX01 que corresponde con XXBA, 111X DCBX y finalmente
0X01 DXBA
ENTRADAS

OPERACIONES
PARCIALES
D C B A B
DC
DB
A
B
A
0 0 0 0
0 0 0 1
1
1
0 0 1 0
0 0 1 1
0 1 0 0
0 1 0 1
1
1
0 1 1 0
0 1 1 1
1 0 0 0
1 0 0 1
1
1 0 1 0
1 0 1 1
1 1 0 0
1 1 0 1
1
1 1 1 0
1
1 1 1 1
1

FUNCIN DE
SALIDA
Q=BA+DCB+DBA
1

m1

m5

m9

1
1
1

m13
m14
m15

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Tabla de verdad de QDCBA = BA + DCB+DBA= m1+m5+m9+m13+m14+m15
Observacin.- Se dejo en blanco las dems casillas para darle claridad a la
tabla, pero se debera haber puesto 0 en cada una de estas.
Ejemplo 28.- Desarrollar una tabla de verdad para la expresin F = (AB + AC) +
ABC
Solucin.- En la tabla primero valoramos cada termino por separado
(operaciones parciales) y al ultimo la funcin (salida) ya integrada:
ENTRADAS
OPERACIONES PARCIALES
SALIDA
A B C AB AC (AB+AC) (AB+AC) ABC F = (AB + AC) +
ABC
0
0
0
1
1
0
0
1
1
1
1
0
1
0
1
1
0
1
1
1
1
1
0
0
1
1
1
0
1
1
1
1
1
0
1
1
1
1
1
1
1
1

mini
m0
m1
m2
m3
m4
m5
m6
m7

Tabla de verdad de F = = (AB + AC) + ABC = m 0+m1 + m2 + m3+ m4


Ejemplo 29.- Disear, usando tabla de verdad, un circuito lgico con tres
variables de entrada X; Y; Z que a su salida de el valor en complemento a 2 de la
entrada.
Solucion:
ENTRADAS
X
0
0
0
0
1
1
1
1

Y
0
0
1
1
0
0
1
1

Z
0
1
0
1
0
1
0
1

SALIDA
COMPLEMENTO A 2
XC2
YC2
ZC2
0
0
0
1
1
1
1
1
0
1
0
1
1
0
0
0
1
1
0
1
0
0
0
1

m0
m1
m2
m3
m4
m5
m6
m7

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Tabla que muestra el complemento a dos de las entradas
El circuito tendr tres salidas de la siguiente forma
XC2 = m1+m2+m3+m4= XYZ+XYZ+XYZ+XYZ
YC2 = m1+m2+m5+m6= XYZ+XYZ+XYZ+XYZ
Zc2 = m1+m3+m5+m7= XYZ+XYZ+XYZ+XYZ
Ejemplo 30 Demuestre con tablas de verdad la validez de la siguiente
identidad:
QXYZ= XYZ+XYZ+XYZ+XYZ = Z
Entradas Salida minitermino
X Y Z
Qxyz
0 0 0
0
m0
0 0 1
1
m1
0 1 0
0
m2
0 1 1
1
m3
1 0 0
0
m4
1 0 1
1
m5
1 1 0
0
m6
1 1 1
1
m7
Tabla de verdad para QXYZ= XYZ+XYZ+XYZ+XYZ = Z
En la tabla se puede observar que las columnas para Q XYZ y Z son iguales por
lo tanto la igualdad es VERDADERA
2.3.3 Soluciones grficas.
La simplificacin de circuitos lgicos por mtodos grficos se puede realizar con
diagramas de Venn pero como ya se dijo en el apartado 2.2.3 no resulta practica
su uso en representaciones complejas por lo que no es muy comn su empleo.
La otra solucin grafica es por mapas de karnaugh la cual resulta de fcil
aplicacin para expresiones de hasta 4 variables, para 5 variables o mas es
conveniente usar mtodos computacionales como por ejemplo la
implementacin del algoritmo de Quine McCluskey, los interesados pueden ver
el libro de John F. Wakerly, Diseo Digital, Prentice Hall, 3ra Edicion, pag.236.
En este libro el autor presenta un programa en lenguaje C para este algoritmo.
2.3.3 Diagramas o mapas de Karnaugh- Simplificacin
Un mapas de Karnaugh es una representacin de los miniterminos que
proporciona un mtodo sistemtico de simplificacin, que genera las
expresiones suma de productos y productos de suma ms simples posibles.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
El mapa es similar a una tabla de verdad ya que muestra todos los posibles
valores de las variables de entrada y la salida que resulta para cada valor. Su
aplicacin se ilustra fcilmente con los siguientes ejemplos, se muestra su
empleo para 2, 3, y 4 variables.
Mapa de Karnaugh para dos variables.
El mapa de dos variables es un conjunto de 4 celdas, con todas las
combinaciones posibles de la siguiente forma

El mapa de tres variables es un conjunto de 8 celdas, con todas las


combinaciones posibles de la siguiente forma

El mapa de cuatro variables es un conjunto de 16 celdas, con todas las


combinaciones posibles de la siguiente forma

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

Su aplicacin se explica con los siguientes ejemplos


Ejemplo 31 Reducir las expresiones a) f = xy

b) Q = xy +xy + xy

Solucin a) se representa en el mapa como un 1 en la posicin indicada, no


tiene reduccion puesto que es un solo termino.
Solucion para b) Se represntan los 1 en la casilla correspondiente, se pueden
hacer grupos de 2 . Se observa que el par de 1 horizontal acupa el dominio
de x y que el par de 1 vertical el dominio de y por lo que la expresin queda
Q=x+y

Ejemplo 32
a)

Simplificar la expresin F(x,y,z) = (0,2,4,5,6)

Solucin Se anotan los 1 en la casilla correspondiente al minitermino indicado,


se agrupan los 1 en mltiplo exponencial de 2, esto es 2, 4, 8, 16,.. 2 n para
este ejemplo como se indica en el diagrama. Se obserba el dominio que

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
ocupa y se hace la anotacion. Se agrupan los cuatro miniterminos verticales y
se observa que ocupa el dominio de z , y dos miniterminos horizontales estan
en el dominio de xy por lo que la exprecion reducuda queda:
F = z +xy

b) Simplificar la expresin Q + xyz +xyz +xyz + xyz


Solucion: En el mapa de 3 variables se anotan los 1 en la casilla
correspondiente y se agrupan trminos adyacentes en multiplos
exponenciales de 2. ver figura se observa que dominios ocupan.
Quedando la reduccion como
Q = yz + xz

Ejemplo 33 a) simplificar la expresin Booleana


F(w, x,y,z) = (0,1,2,4,5,6,8,9,12,13,14))
Solucin: En un mapa de 4 variables se anotan los 1 en la casilla del
minitermino correspondiente, se agrupan los terminosadyacentes en multiplos
exponenciales de 2 , entre mas trminos mayor es la reduccion, ver figura del
ejemplo, se han formado tres grupos, uno de 4 trminos en la parte superior,
otro tambien de 4 termninos en la parte media y un tercero de 8 trminos en la
parte izquierda. Observando los dominios que ocupan se tiene:
F = y +wz +xz

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

b) simplificar la expresin Booleana


Q = ABC + BCD + ABCD ABC
Solucin: Primero se debe de acompletar los miniterminos, esto es:
Q = ABC(D + D) +BCD(A +A) +ABCD + ABC(D +D)
= ABCD + ABCD +BCDA +BCDA +ABCD + ABCD + ABCD)
= (0,1,2,6,8,9,10)) y se sigue el procedimiento ya descrito en
apartado a).
Q = BD +BC +ACD

UNIDAD III Electrnica Digital


OBJETIVOS PARTICULARES DE LA UNIDAD

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Al trmino de la unidad, el alumno:
- Determinar los aspectos bsicos de la implementacin terica en dispositivos
electrnicos, partiendo de los elementos mnimos bit-transistor, base del
estado slido.
3.1 Electrnica Digital.
Son circuitos electrnicos que llevan a cabo las operaciones necesarias para
obtener las decisiones lgicas.
En la tabla 3.1 se muestran los smbolos de empleados en las normas
americanas y en las normas Europeas para representar las compuertas ms
comunes:
TABLA No 3.1 Simbolos de compuertas ms comnmente empleadas
Smbolo americano

Smbolo Europeo

AND

AND

NAND

NAND

OR
OR

NOR

O
exclusiva

NOR

O
exclusiva

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Y
exclusiva
Realiza
funciones
de AND y NAND

Inversor

Diferencial

Puerta
triestado
Realiza
funciones
de OR y NOR

Inversor

Inversor
schmitt

Buffer

Buffer
triestado

Buffer
negado

Driver

3.2 Circuitos combinacionales.


Circuito combinacional
Un circuito combinacional es un circuito cuya salida es funcin exclusivamente
del estado del valor lgico de sus entradas. Est compuesto por compuertas
lgicas y no deben presenta realimentacin, es decir, ninguna salida de ningn
componente debe usarse como entrada del circuito. Se disea atendiendo a las
reglas de lgica combinacional. Un circuito combinacional puede describirse
utilizando una frmula con lgebra de Boole en la que las salidas sean
dependientes solamente de las entradas.
Existen muchos circuitos combinacionales tpicos. Algunos de ellos son:

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Codificadores: Convierten una seal binaria en otra seal binaria de
distintas caractersticas.

Multiplexores y Demultiplexores: Seleccionan una salida entre varias


seales de entrada o al contrario, de una seal de entrada se obtienen
varias salidas.

Comparadores: comparan 2 nmeros en cdigo binario.

Unidades aritmticas: suman, restan, multiplican, nmeros binarios.

En los siguientes apartados se presentan estos circuitos con mayor detalle


3.2.1 Familias de circuitos lgicos.
Familia TTL (Lgica de Transistor - Transistor)
Esta fue la primera familia de xito comercial, se utiliz entre 1965 y 1985. Los
circuitos TTL utilizan transistores bipolares y algunas resistencias de
polarizacin. La tensin nominal de alimentacin de los circuitos TTL son 5 V
DC.
Niveles Lgicos TTL
En el estudio de los circuitos lgicos, existen cuatro especificaciones lgicos
diferentes: VIL, VIH, VOL y VOH.
En los circuitos TTL, VIL es la tensin de entrada vlida para el rango 0 a 0.8 V
que representa un nivel lgico 0 (BAJO). El rango de tensin VIH representa la
tensiones vlidas de un 1 lgico entre 2 y 5 V. El rango de valores 0.8 a 2 V
determinan un funcionamiento no predecible, por la tanto estos valores no son
permitidos. El rango de tensiones de salida VOL, VOH se muestra en la figura

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

Figura 3.1Nivel lgico de entrada de un circuito TTL


Circuitos Lgicos CMOS (Metal xido Semiconductor Complementario)
La tecnologa CMOS es la ms utilizada actualmente para la construccin de
circuitos integrados digitales, como las compuertas, hasta los circuitos como las
memorias y los microprocesadores. La tensin nominal de alimentacin de los
circuitos CMOS son +5 V y +3,3 V.
Niveles Lgicos CMOS
En la figura 3.2 se muestran las tensiones VIL, VIH, VOL, VOH vlidas para los
dispositivos CMOS de nivel +5 VDC.

figura 3.2 Nivel Lgico de Entrada de un circuito CMOS +5 V

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Familias lgicas
Los circuitos digitales emplean componentes encapsulados, los cuales pueden
albergar puertas lgicas o circuitos lgicos ms complejos.
Estos componentes estn estandarizados, para que haya una compatibilidad
entre fabricantes, de forma que las caractersticas ms importantes sean
comunes. De forma global los componentes lgicos se engloban dentro de una
de las dos familias siguientes:
TTL: diseada para una alta velocidad.
CMOS: diseada para un bajo consumo.
Actualmente dentro de estas dos familias se han creado otras, que intentan
conseguir lo mejor de ambas: un bajo consumo y una alta velocidad.
Tabla 3.2 Comparacin de las familias
TTL
Schottky de
baja
potencia
(LS)

Fairchild
4000B
CMOS (con
Vcc=5V)

Fairchild
4000B CMOS
(con
Vcc=10V)

5 ns

40 ns

20 ns

PARAMETRO

TTL
TTL
estndar 74L

Tiempo de
propagacin

10 ns

33
ns

Frecuencia
mxima de
funcionamiento

35 MHz

3
45 MHz
MHz

8 MHz

16 MHz

Potencia disipada 10 mW

1
mW

2 mW

10 nW

10 nW

Margen de ruido
admisible

1V

1V

0'8 V

2V

4V

Fan out

10

10

20

50 (*)

50 (*)

(*) O lo que permita el tiempo de propagacin admisible


Dentro de la familia TTL encontramos las siguiente sub-familias:

L: Low power = disipacin de potencia muy baja


LS: Low power Schottky = disipacin y tiempo de propagacin pequeo.
S: Schottky = disipacin normal y tiempo de propagacin pequeo.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
AS: Advanced Schottky = disipacin normal y tiempo de propagacin
extremadamente pequeo.
TENSION DE ALIMENTACION
CMOS: 5 a 15 V (dependiendo de la tensin tendremos un tiempo de
propagacin).
TTL: 5 V.
Parmetros de compuerta
Las compuertas lgicas no son dispositivos ideales, por lo que vamos a tener
una serie de limitaciones impuestas por el propio diseo interno de los
dispositivos lgicos. Internamente la familia TTL emplea transistores bipolares ,
por lo que tienen mayor consumo de potencia, mientras que la familia CMOS
emplea transistores MOS por lo que presentan bajo consumo de potencia).
MARGEN DEL CERO
Es el rango de tensiones de entrada en que se considera un cero lgico:
VIL mx: tensin mxima que se admite como cero lgico.
VIL mn: tensin mnima que se admite como cero lgico.
MARGEN DEL UNO
Es el rango de tensiones de entrada en que se considera un uno lgico:
VIH mx: tensin mxima que se admite como uno lgico.
VIH mn: tensin mnima que se admite como uno lgico.
MARGEN DE TRANSICION
Se corresponde con el rango de tensiones en que la entrada es indeterminada y
puede ser tomada como un uno o un cero. Esta zona no debe ser empleada
nunca, ya que la puerta se comporta de forma incorrecta.
MT = VIH mn - VIL mx
AMPLITUD LOGICA
Debido a que dos puertas de la misma familia no suelen tener las mismas
caractersticas debemos emplear los valores extremos que tengamos, utilizando
el valor de VIL mx ms bajo y el valor de VIH mn ms alto.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
AL mx: VH mx - VL mn
AL mn: VH mn - VL mx
RUIDO
El ruido es el elemento ms comn que puede hacer que nuestro circuito no
funcione habiendo sido diseado perfectamente. El ruido puede ser inherente al
propio circuito (como consecuencia de proximidad entre pistas o capacidades
internas) o tambin como consecuencia de ruido exterior (el propio de un
ambiente industrial).
Si trabajamos muy cerca de los lmites impuestos por VIH y VIL puede que el
ruido impida el correcto funcionamiento del circuito. Por ello debemos trabajar
teniendo en cuenta un margen de ruido:
VMH (margen de ruido a nivel alto) = VOH mn - VIH mn
VML (margen de ruido a nivel bajo) = VIL mx - VOL mx
VOH y VOL son los niveles de tensin del uno y el cero respectivamente para la
salida de la puerta lgica.
Supongamos que trabajamos a un nivel bajo de VOL = 0'4 V con VIL mx = 0'8
V. En estas condiciones tendremos un margen de ruido para nivel bajo de:
VML = 0'8 - 0'4 = 0'4 V
FAN OUT
Es el mximo nmero de puertas que podemos excitar sin salirnos de los
mrgenes garantizados por el fabricante. Nos asegura que en la entrada de las
puertas excitadas:
VOH es mayor que VOH mn
VOL es menor que VOL mn
Para el caso en que el FAN OUT sea diferente a nivel bajo y a nivel alto,
escogeremos el FAN OUT ms bajo para nuestros diseos.
Si adems nos encontramos con que el fabricante no nos proporciona el FAN
OUT podemos calcularlo como:
FAN OUT = IOL mx / IIL mx
Donde IOL e IIL son las corrientes de salida y entrada mnimas de puerta.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
POTENCIA DISIPADA
Es la media de potencia disipada a nivel alto y bajo. Se traduce en la potencia
media que la puerta va a consumir.
TIEMPOS DE PROPAGACION
Definimos como tiempo de propagacin el tiempo transcurrido desde que la
seal de entrada pasa por un determinado valor hasta que la salida reacciona a
dicho valor.
vamos a tener dos tiempos de propagacin:
Tphl = tiempo de paso de nivel alto a bajo.
Tplh = tiempo de paso de nivel bajo a alto.
Como norma se suele emplear el tiempo medio de propagacin, que se calcula
como:
Tpd = (Tphl + Tplh)/2
FRECUENCIA MAXIMA DE FUNCIONAMIENTO
Se define como:
Fmx = 1 / (4 * Tpd)

3.2.2 Conmutadores lgicos.


Los conmutadores lgicos o ms comnmente llamados compuertas lgicas
son dispositivos o circuitos electrnicos que permiten implementar las
operaciones lgicas AND, OR Y NOT. En lo general con base a estos circuitos
simples se construyen todas las compuertas que existen en el mercado y cuyos
smbolos se muestran en la tabla 2. En teora se pueden construir cualquier
circuito lgico solamente con estas tres compuertas, obviamente dependiendo
de la complejidad del circuito a implementar, puede resultar prcticamente
incosteable hacerlo solo con estas compuertas por el tamao y gran posibilidad
de fallas. La tecnologa actual permite que en un solo circuito integrado fabricar
una gran cantidad de compuertas, que por el nmero de ellas se les conocen
como de baja (SSI), media (MSI) y alta (LSI) integracion

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
3.2.3 Integracin de compuertas.
Los circuitos para las compuertas lgicas actualmente se fabrican para realizar
desde funciones lgicas bsicas AND, OR, NOT (SSI) , hasta aplicaciones muy
complejas, (LSI) como son los dispositivos de lgica programable, memorias,
microprocesadores, pasando por circuitos con funciones lgicas especificas
(MSI) como son codificadores, decodificadores , multiplexores, demultiplexores,
contadores, etc.

3.2.4 Codificadores y decodificadores


Codificador
En general, se puede decir que un codificador es un circuito hecho para pasar
informacin de un sistema a otro con clave diferente,
. En otras palabras, es un circuito integrado por un conjunto de componentes
electrnicos con la habilidad para mostrar en sus terminales de salida una
palabra binaria ( word binario 01101, 1100, etc.), equivalente al nmero presente
en sus entradas, pero escrito en un cdigo diferente. Por ejemplo, un codificador
de Octal a Binario es un circuito codificador con ocho entradas (un terminal para
cada dgito Octal, o de base 8) y tres salidas (un terminal para cada bit binario).
Otro ejemplo es el codificador de teclado (Keyword encoder) que convierte la
posicin de cada tecla (9, 3, . 5, + , %, etc.) en su correspondiente palabra
binaria (word) asignada previamente.
Un ejemplo de lo anterior es el teclado codificador en ASCII (American Standard
Code for Information Interchange), que genera lapalabra de 7 bits 0100101
cuando es presionada la tecla del porcentaje (%).
Decodificador
El decodificador es un circuito combinacional diseado para convertir un nmero
binario a un orden distinto, para ejecutar un trabajo especial.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Esto es , la palabra binaria que sale, tiene un formato diferente, a la palabra
que entr, aunque tenga la misma cantidad de bits.
Ejemplo 34
El circuito lgico que convierte una entrada en cdigo binario BCD a decimal

Figura ejemplo 35 decodificador BCD a decimal


En Electrnica Digital es a menudo necesario pasar un nmero binario a otro
formato, tal como el requerido para energizar los siete segmentos de los
display hechos con diodos emisores de luz, en el orden adecuado para que se
ilumine la figura de un nmero decimal en particular..
Ejemplo. 36
El circuito que convierte una entrada en codigo BCD a un formato de salida para
manejar un display de 7 segmentos se muestra en su tabla de verdad y
esquema de la compuerta 74HC42.

Figura ejemplo 36.- Decodificador BCD a 7 segmentos

Los decodificadores son tambin usados en los microprocesadores para


convertir instrucciones binarias en seales de tiempo, para controlar mquinas
en procesos industriales o implementar circuitos lgicos avanzados

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
3.2.5 Multiplexores y demultiplexores.
Multiplexor
El multiplexor es el equivalente lgico digital de un interruptor giratorio de varias
posiciones, tal como la llave que sirve para seleccionar las bandas de un
receptor de radio.
Un multiplexor tpico en circuitos integrados es aquel que puede seleccionar
cualquiera de varias lneas de entrada y comunicar a una lnea comn de salida
el nivel lgico que all encuentre.
Mediante unas lneas auxiliares de control binario se le puede "direccionar" para
que se "estacione" en determinada lnea de entrada de datos (la primera, la
quinta, sptima, etc.) con el fin de que aquellos sean comunicados a la lnea de
salida.
Un integrado multiplexor comn tiene 8 entradas de datos (bits), tres entradas
direccionadas (address) y una sola lnea para salida de datos. Cuando la
direccin 101 -leer "uno- cero - uno" - es aplicada al multiplexor, la entrada 5 es
"comunicada" con la salida.
Una aplicacin muy importante es la facilidad de implementar una funcin lgica
usando un multiplexo.
Ejemplo 36 a
Implementar la funcin lgica Q = xyz +xyz+xyz+xyz usando un circuito
multiplexor.
SOLUCION
Q (xyz) = xyz +xyz+xyz+xyz = (1,2,6,7)
Se emplea un multiplexor de 4 a 1 es decir 4 entradas (E 0, E1, E2, E3) a una
salida F, este circuito tiene entonces dos lineas de entrada de control S 0 y S1
Conviene tener en cuenta que el circuito funciona de la siguiente manera: si la
entradas S0 y S1 son 0 0 a la salida estar el valor de la entrada E 0, de igual
forma si S0 y S1 estn con el valor 0 1 a la salida tendremos E 1, y asi
sucesivamente.
Procedemos de la siguiente forma
Las dos primeras dos variables x, y se aplican a las lineas de control en ese
orden, x a entrada S1, y y a entrada S0. Los valores de las lineas de entrada E0, a
E3 se deducen de la tabla de verdad de la funcin.(Ver figura 36 a de tabla de
verdad) Cuando A B valen 0 0 a la salida deber estar el valor F igual a E 0=0,

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
por lo tanto a la entrada E0 pondremos el valor lgico de z. cuando A B valen 0 1
a la salida deber estar el valor F igual a E 1=z, por lo tanto a la entrada E1
conectamos el valor de z. cuando A B valen 1 0 el valor de F deber ser 0 por lo
que conectamos en E2, el valor lgico de 0 y finalmente si A B valen 11, F sera
tanbien igual a 1 , por lo que nuevamente conectamos 1 a la entrada E 3
.Con esto ya se tiene el circuito que se muestra en la figura ejemplo 36
implementacion con multiplexor.

Con este ejemplo se muestra la ventaja de que una funcin lgica de tres
variables se puede implementar con una sola compuerta multiplexora y una
compuerta inversora sin necesidad de reducir el circuito.
En general se puede implementar cualquier funcin de n variables con un
multiplexor de n-1 entradas de seleccin y 2n-1 entradas de datos.
Ejemplo 36b
Implementar con multiplexor la funcin
F(ABCD) = (1,3,4,11,12,13,14,15)
Solucion.
Se tiene una funcin con n = 4 variables, por tanto se requiere un multiplexor de
8 X 1, ocho entradas de datos por una salida, el cual tendr 3 entradas de
control

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
A las entradas de control S2, S1, S0 les asignamos, el valor A,B,C
respectivamente, y a las 8 entradas (E0, E1, E2, E3 ,E4, E5, E6, E7) los que se
deducen de los valores que se muestran en la tabla de verdad de la figura
ejemplo 36b.

Figura del ejemplo 36b Implementacion de una funcin de 4 variables


F(ABCD) = (1,3,4,11,12,13,14,15)

Aprovechando el fenmeno conocido como "persistencia visual", se emplean


con mucha frecuencia circuitos multiplexor en el manejo de los visualizadores
numricos de las calculadoras y relojes electrnicos porttiles, ya que as se
logra disminuir el consumo de corriente y la cantidad de pines (patas) que
deberan llegar hasta el circuito activador.
Por ejemplo, un display esttico de 3 dgitos LED de 7 segmentos, tiene en total
24 pines (8 por cada dgito) y un consumo de corriente igual a la suma de lo
gastado por cada segmento activado. En el mismo caso, un display dinmico
(multiplexado) tiene solamente 10 pines (7 lneas que unen en paralelo los
correspondientes segmentos en cada dgito, y 3 lneas de salida individual por
dgito (el ctodo o el nodo comn, segn sea la polaridad del display). El
consumo total de corriente para iluminar digamos el 888 sera aproximadamente
igual al gastado por un slo dgito en la forma esttica.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Debido a la "persistencia visual" en nuestros ojos, es posible multiplexor los tres
dgitos del display para que enciendan y apaguen sucesivamente en forma
escalonada, sin que notemos parpadeo y d la sensacin de estar
continuamente iluminados. Primero uno, luego el otro, y as sucesivamente
hasta que le toca repetir al que inici el ciclo; a las veces que les toca repetir su
encendido por cada segundo de tiempo, se les denomina RATA DE
REPETICION o cantidad de CUADROS. Igual que en televisin, la rata no debe
ser menor a 30 cuadros por segundo, pero se permite aumentar esta cifra hasta
donde lo permitan los tiempos de propagacin de las compuertas empleadas en
la integracin del multiplexor.
Demultiplexor
El demultiplexor funciona de manera contraria al multiplexor: tiene una sola lnea
para entrada de datos y dos o ms salidas seleccionables. Tal como ocurre con
el multiplexor, un conjunto de terminales de entrada conocidos como "address"
direcciona o escoge la salida.
El address necesita una cantidad de terminales suficientes para recibir la
cantidad de bits que conforman el nmero binario equivalente al mximo de
salidas.
As, por ejemplo, un demultiplexor de 1 lnea a 8 lneas, requiere tres bits para
poder "llevar" la entrada hasta la salida octava (address 111 es el nmero binario
equivalente al decimal 7, pero corresponde a la posicin octava por tener en
cuenta que el 000 es la posicin primera).
Los dDeMUX se utilizan tambin como decodificadores de binario a un solo nivel
de salida, tal como los decodificadores BINARIO a DECIMAL, o BINARIO a
HEXADECIMAL: colocando un nmero binario en sus entradas de
datos(address), se obtiene un estado distinto en la salida correspondiente. en
otras palabras, colocando mediante un decodificador BCD un cierto nmero en
los terminales de entrada, digamos 0101, podremos hacer que la salida sexta
pase a nivel bajo, lgico "0
Una aplicacin muy importante es la facilidad de implementar una o mas
funcines lgicas usando un solo demultiplexor
.
Ejemplo 37 Implementar la funcin lgica
Q (XYZ) = (3,5,6,)
y F(XYZ) = (5,7)
Teniendo presente que un multiplexor, por ejemplo de 8 salidas, el cual requiere
de 3 lineas de adress o de control de direccionamiento, y que el valor de estas

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
definen el valor de salida. Esto es, si en la entrada esta el valor de 000 a la
salida estar activo el valor en Y0, si fuese 011, a la salida estar activo el valor
de Y3 y as sucesivamente.
Solucin.
Se asigna el valor de las variables XYZ a las entradas de direccionamiento en el
mismo orden de peso. Esto es, asignamos X a E2, Y a E1, y Z a E0 y para
implementar el circuito basta con sumar lgicamente los miniterminos presentes
en la funcin booleana para Q y para F respectivamente.

Figura 1 para el ejemplo 37b Implementacion de una funcin con


demultiplexores.
Cabe sealar que si se usa una compuerta comercial por ejemplo la 74138 el
peso de las entradas de direccionamiento son CBA en este orden y ademas se
debe de tener en cuenta que cuando su salida es activa presenta el valor de 0.
Por lo que para hacer la suma lgica de los miniterminos se debera usar una
compuerta NAND.
Se deja al lector realizar el diagrama esquematico de la solucion..

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

Ejemplo 37

Se muestra una relacin de las compuertas TTL ms comnmente usadas


NAND
7400 CUADRUPLE DE 2 ENTRADAS
7410 TRIPLE DE 3 ENTRADAS
7420 DUAL DE 4 ENTRADAS
7430 UNA DE 8 ENTRADAS
AND
7408 CUADRUPLE DE 2 ENTRADAS
NOR
7402 CUADRUPLE DE 2 ENTRADAS
7427 TRIPLE DE 3 ENTRADAS
OR
7432 CUADRUPLE DE 2 ENTRADAS
NOT O INVERSOR
7404 SEXTUPLE
CODIFICADORES
74185 BINARIO A BCD
DECODIFICADOR
7446 BCD A 7 SEGMENTOS COMUN A POSITIVO +
7448 BCD A 7 SEGMENTOS COMUN A NEGATIVO 74145 BCD A DECIMAL
74138 UNA 3 ENTRADAS A 8 SALIDAS
74139 DUAL 2 ENTRADAS A 4 SALIDAS

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
FLIP-FLOP
7474 DOBLE TIPO D, CON SET/RESET
7476 DOBLE TIPO JK CON SET/RESET
CONPARADOR
7485 DE 4 BITS
74521 DE 8 BITS
REGISTROS
7491 REGISTRO DE DESPLAZAMIENTO SERIE DE 8 BITS
7495 REGISTRO DE DESPLAZAMIENTO PARALELO DE 4 BITS
74194 REGISTRO UNIVERSAL DE 4 BITS
CONTADORES
7492 CONTADOR DECIMAL UP/ DOWN PROGRAMABLE
7493 CONTADOR BINARIO UP/DOWN PROGRAMABLE
ARITMETICO
7483 SUMADOR COMPLETO DE 4 BITS
74181 ALU DE 4 BITS

3.2.6 Flip-flops, relojes, registros, contadores


A los circuitos que son capaces de tener dos estados estables, los cuales se
generan segn sea el valor de las entradas de excitacin se les conoce como
Flip-Flops, estos circuitos como se vera mas adelante son fundamentales para
realizar circuitos secunciales los cuales a su vez son la base para la
construccin de circuitos de las computadoras.
En este apartado se muestra la construccin de los circuitos con compuertas y
sus aplicaciones se veran ms adelante.

Flip-Flops

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Para un mismo FLIP-FLOP existen dos tipos de circuitos, segn sea su
respuesta.
Flip-Flop ,estos responden una seal de reloj durante los cambios de 1 a 0
lgico o de 0 a 1 lgico,segn sea respuesta por flanco de subida o de
bajada respectivamente, notar que se dice solo responde al momento del
cambio
Flip-flop de cerrojo ( latch ) o asincronos los que su salidas responden a una
seal de relog durante todo el tiempo que permanesca el 0 o 1 lgico en
sus entradas.
Flip-Flop S-R (Set-Reset)
Este dispositivo es similar al cerrojo S-R, la diferencia radica en la inclusin de
una seal de reloj, que acta como seal de confirmacin del paso de los datos
hacia el circuito principal, el cul se encarga de memorizar los datos. Su
representacin en los sistemas digitales es la que se muestra en la figura
Este tipo de flip-flop no es muy comercial as que no se darn mas detalles
sobre este dispositivo en este apartado.

figura 3.3 Flip-flop S-R


Flip-Flop D (Data)
El flip-flop D es muy similar al cerrojo D, y su diferencia radica en que la seal
habilitadora (enable) es reemplazada por el mecanismo del flip-flop
maestro/esclavo, el cual actualiza los datos cada vez que la seal de reloj tiene
una transicin de 0 a 1 o 1 a 0 dependiendo del tipo de flip-flop. La estructura del
flip-flop D y su representacin simplificada se muestran en la figura

figura 3.4 Flip-flop D

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
La tabla 3.3 es la tabla de verdad de exitacion de este flip-flop, la cual indica que
el valor de la entrada D se trasfiere a la salida cuando ocurre un pulso de reloj.

D CLK Qi+1

0
1

Tabla 3.3 Tabla de excitacin del flip-flop D


La forma de operacin de este flip-flop es muy sencilla:
o
o

Cuando D=0 y se presenta un cambio de 0 a 1 lgico


en la entrada de reloj del flip-flop la salida Q=0.
Cuando D=1 y se presenta un cambio de 0 a 1 lgico
en la entrada de reloj del flip-flop la salida Q=1.

En otras palabras, el dato en D se transfiere y memoriza en Q cada vez que se


presenta una transicin de 0 a 1 lgico en la seal de reloj (CLK); esta condicin
se conoce con el nombre de transicin por flanco positivo.
La condicin complementaria a la anterior es cuando la transicin es de 1 a 0
lgico, en este caso se dice que la transicin se da por flanco negativo.

A este flip-flop tipo D, tambin se le denomina Espejo pues la salida es el reflejo


de la entrada.

Flip-Flop D Preset-Clear
Este flip-flop es similar al flip-flop D, excepto que este tiene dos entradas
asincrnicas activadas en bajo llamadas Preset y Clear. Estas entradas como su
nombre lo indican sirven respectivamante para poner en 1 y 0 la salida Q del
flip-flop independientemente de la seal de reloj. La configuracin de este flipflop y su representacin abreviada se describen en la figura 3.5.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

figura 3.5 Flip-flop D Preset-Clear


La gran parte de los Circuitos Integrados que contienen flip-flops vienen con
entradas asncrnicas de inicializacin y borrado (Preset y Clear), comunmente
representados con las abreviaturas PRE y CLR.
Flip-Flop J-K
Este flip-flop es una versin modificada del flip-flop D, y su aplicacin es muy
difundida en el Anlisis y Diseo de Circuitos Secuenciales. El funcionamiento
de este dispositivo es similar al flip-flop S-R, excepto que en este no se
presentan indeterminaciones cuando sus dos entradas se encuentran en 1
lgico, si no que el flip-flop entra en un modo de funcionamiento llamado modo
complemento, en el cual, la salida Q cambia a su estado complementario
despus de cada pulso de reloj. La configuracin de este flip-flop y su
representacin abreviada se muestran en la figura 3.6. y en la tabla 3.4 se
indican la tabla de exitacion de entrada y salida de este flip-flop.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

figura 3.6 Representacin del flip-flop J-K


Note que las entradas J y K controlan el estado de este flip-flop de la misma
manera que en el flip-flop D. Cuando las entradas son J=1 y K=1 no generan un
estado indeterminado a la salida, sino que hace que la salida del flip-flop cambie
a su estado complementario.

J K CLK Qi+1
0 0

Qi

1 0

0 1

1 1

Qi'

Tabla 3.4Estados del flip-flop J-K


Flip-Flop T (Toggle)
Este flip-flop recibe su nombre por la funcin que realiza (Toggle) cambiando el
estado de la salida por su complemento. Es una modificacin del flip-flop J-K
limitndolo a cumplir exclusivamente esta funcin, la cual se logra uniendo las
terminales J y K como se muestra en la figura 5.5.7.

figura 3.7 flip-flop tipo T

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
La tabla de verdad de este flip-flop se limita a las lneas 1 y 4 del flip-flop J-K.

Ejercicio 7
Se deja al estudiante hacer una investigacin sobre las Compuertas comerciales
Que existen en el mercado nacional.
La principal aplicacin de los flip-flop es en los circuitos secuenciales y por su
importancia, para su estudio se asigna la unidad IV que se estudiara mas
adelante.
Circuitos Integrados para Relojes
Como hemos sealado los flip-flops necesitan recibir una seal de reloj para
poder cumplir su funcin en los circuitos secuenciales. Los circuitos mas
conocidos para desempear la funcin de reloj son los llamados osciladores o
generadores de pulso.
Los osciladores son circuitos que cambian el estado de sus salidas
permanentemente entre dos estados lgicos (1 lgico y 0 lgico). Por esta razn
estos circuitos son tiles para generar seales de reloj para los circuitos
secuenciales sincrnos.
Existen varios osciladores que se pueden construir para generar seales de
reloj, mediante el uso resistencias, condensadores, inversores y compuertas. En
la Figura 3.8 se observan algunas configuraciones que se pueden implementar
haciendo uso de estos elementos. El esquema de la figura 3.8 (a), es un circuito
generador de pulsos sin rebote y opera de forma manual. En la posicin (1) la
salida ser 0 lgico y cuando el interruptor se ubica en la posicin (2) la salida es
1 lgico.
En las figuras (b) y (c) se muestran dos circuitos generadores de pulso que se
pueden implementar usando inversores y compuertas. La frecuencia de
oscilacin depende bsicamente de los valores de la resistencia y el
condensador.
Para este tipo de circuitos se recomienda el uso de circuitos CMOS de la serie
B, ya que estos tienen tiempos de conmutacin menores.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

figura 3.8 Circuitos generadores de relog


A continuacin se estudiaran dos tipos de osciladores cuyo uso es muy difundido
en Electrnica Digital. Se mencionaran los aspectos bsicos sobre su montaje
sin tratar de explicar su funcionamiento, dejando como ejercici para el
estudiante analizar su operacin.
Oscilador con Disparador de Schmitt
En la Figura 3.9 se observa la configuracin de este oscilador. La salida V o es
una onda aproximadamente cuadrada y su forma depende de los valores de R y
C. En la Tabla 3.5 se observa la relacin entre los valores de R y C con la
frecuencia para tres tipos de integrados con inversores tipo Schmitt, junto con
los rangos de valores que debe tener R para que el circuito oscile.

figura 3.9 Oscilador con disparador de Schmitt

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

Integrado Frecuencia Valor de R

Tabla 3.5
oscilacin segn

7414

0.8/RC

R 500

74LS14

0.8/RC

R 200

74HC14

1.2/RC

R 10M

Frecuencia de
RyC

Es importante sealar que la estabilidad en el funcionamiento de estos circuitos


en lo que se refiere a mantener la frecuencia de salida es no mejor de un 10%,
por lo que en la practica se usan solamente si en la aplicacin especifica no se
ve afectada por esta limitacion.
Ejemplo.39 1 Cual es la frecuencia de operacin para un circuito de reloj
como se muestra en la figura 3.9 oscilador con disparador de Smith si C = .01 F
y R = 500 ohms y se usa la compuerta 74LS14
Solucion:
F = 0.8/RC = 0.8 / (500 X .01 X 10-6 ) = 0.8 / 5 X 10-6 = 0.16 X 106 = 160 Khz
En la practica este valor podr estar en 160 16 Khz.
Se deja al estudiante corroborar o desmentir esta afirmacin.
Multivibrador astable con CI-555
El CI-555 es un dispositivo de tecnologa TTL que funciona de varios modos. En
la Figura 3.10 observamos la manera de conectar los componentes al Circuito
Integrado de forma que opere como un Multivibrador Astable. La frecuencia de
oscilacin de la seal de salida depende de los valores de las resistencias RA,
RB y C.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

figura 3.10 Multivibrador astable con CI-555


La seal de salida de este circuito es una onda cuadrada de las caractersticas
que se indican en la Figura 3.11, donde los tiempos t1 y t2 estn dados por las
siguientes expresiones:

figura 3.11 Seal de salida del CI-555


El periodo y al frecuencia de esta seal estn dados por:

Para que el circuito oscile es necesario que se se cumplan ciertos rangos para
los valores de las resistencias y el condensador, los cuales se relacionan a
continuacin.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

Es de observarse que este circuito 555 es muy verstil tiene una infinidad de
aplicaciones, se deja al estudiante hacer una investigacin documental acerca
de cuales podran ser.
Ejemplo 39 2 de aplicacion
Disear un circuito de relog para una frecuencia de 5 Hz. Con 3 ciclos en valor
alto y 2 ciclos en valor bajo.
Solucion

Ttotal = t1 + t2 = 5
t1 = 0.693 RB x C = 2

t2 = 0.693 (R1 + R2) C = 3

Sea RB = 10 000 ohms


C = 2 / 0.693 RB = 2 / 0.639 X 10 000 = 3.13 x 10 -4 = .0313 F
para el calculo de RA
t2 = 0.693 (RA + 10 000) X 3.13 x 10 -4 = (R1 + 10 000) X 2.17 x 10 -4 = 3
R1 = (3 2.17 x 10 -4 x 10 000) / ( 2.17 x 10 -4) = 0.83/2.17 x 10 -4 = 3,824.88
los valores sern:
R1 = 3,824.88 3.8 Kohms
R2 = 10 000 10K
C = 0.313 F

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

Figura para el ejemplo 39


Registros
Son dispositivos para el almacenamiento, o manipulacin de informacin binaria
Existen diversos tipos de registros, dependiendo de la funcin que desarrollen:
- Registros de almacenamiento simple. Su funcin es bsicamente la de
almacenar una informacin.
- Registros de conversin serie-paralelo. Son registros que realizan la
conversin de la informacin que accede a ellos en serie, a un formato en
paralelo.
- Registros conversin paralelo-serie. Son registros que realizan la funcin
inversa a los anteriores, es decir, a la informacin que accede a ellos en
paralelo, le dan formato serie.
- Registros de desplazamiento. Son registros que permiten el desplazamiento de
la informacin que almacenan. Tambin suelen servir para las funciones
anteriores.
Registros de desplazamiento
Los registros de desplazamiento realizan fundamentalmente dos funciones :
rotaciones, y aplazamientos propiarnente dichos.
- ROTACIONES. Pueden ser a la derecha o la izquierda. Se realizan en bucle
cerrado y se pueden utilizar para analizar el estado de un bit que forma parte de

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
una informacin, y cuyo acceso solo es posible en una posicin determinada.
Los registros que realizan esta operacin se denominan registros en anillo (un
caso particular es el de los contadores en anillo, cuando aprovechamos el
desplazamiento para realizar una cuenta).
Vamos a ver los diversos tipos de rotacin.
Rotacin a la izquierda (ROL). Veamos aplicndolo a un acumulador del
microprocesador 6800 (tiene registros de 8 bits, y los testea a travs de un
biestable C).

figura 3.12 Rotacin a la izquierda

Despus de ocho desplazamientos, todos los bits, que conforman el contenido


del AccA, pueden ser muestreados cuando pasan por "C" (acarreo).
Rotacin a la derecha (ROR). En este caso la rotacin se hace a derechas.
Siguiendo con cl ejemplo anterior, tenemos:

figura 3.13 Rotacin a la derecha

Nuevamente, despus de ocho desplazamientos todos los bits, que conformam


el contenido del AccA, pueden ser muestreados cuando pasan por "C".
- DESPLAZAMIENTOS. Tenemos dos tipos de desplazamientos: el lgico y el
aritmtico, segn se vean o no implicados elementos ajenos al propio registro.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Vamos a ver algunos tipos de desplazamientos:
Desplazamiento aritmtico a la izquierda (ASL). Bsicamente realiza la
siguiente funcin:

figura 3.14 Desplazamiento aritmtico a la izquierda (ASL


Un desplazamiento a la izquierda equivale a una multiplicacin por 2 en el
sistema binario.

Desplazamiento aritmtico a la derecha (ASR). Bsicamente realiza la funcin


siguiente:

figura 3.15 Desplazamiento aritmtico a la derecha (ASR)


Desplazamiento lgico a la derecha (LSR). Equivale a una division por 2 en
binario.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

figura 3.16 Desplazamiento lgico a la derecha (LSR).


Aqu, vemos que entra un "O" exterior al registro.
CONVERTIDORES PARALELO/SERIE:
Algunas veces es necesario convertir datos paralelos a datos serie, y viceversa.
Dicho proceso es llevado a cabo fcilmente usando un registro para almacenar
los datos, y luego transfiriendo los datos a su salida en la forma deseada.
Ejemplo40 Se deja al alumno hacer una investigacin documental de cuales
convertidores serie paralelo y viceversa existen en la familia TTL y como es
que la realizan.
Contadores.
Un contador digital es constituido exactamente en igual forma que un divisor de
frecuencia. En efecto, el circuito divisor-por-diez es en el fondo un contador,
porque cuenta hasta diez pulsos y da una salida; se repone y queda listo para
repetir el procedimiento de nuevo. Si se colocan varios flip-flops tipo JK en
cascada, que inicien en el binario CERO, un BURST de pulsos en serie,
colocados en la entrada, dejar los flip-flops en estados tales que ellos indiquen
en forma binaria la cantidad de pulsos que arribaron al terminal de entrada.
Notemos de nuevo que cada etapa debe cambiar de estado solamente cuando
la anterior pasa de lgica 1 a lgica 0. Cuando en electrnica se menciona la
expresin BURST, que traducida significa "rfaga", "reventar", "porcin", se
quiere dar a entender que esos pulsos se presentan como un tren definido,
"como una cierta cantidad de vagones unidos entre s", iguales y mensurables
en su cantidad. (En el estudio de televisin en color se encuentra con mucha
frecuencia esta palabra BURST, y se refiere a los 8 o ms pulsos encargados de
sincronizar los circuitos de crominancia, los cuales vienen a manera de "rfaga
de metralleta" incluidos dentro de la onda portadora de TV).
Los contadores digitales son un medio muy prctico para determinar
FRECUENCIA, si la entrada del contador es "abierta" a una seal de frecuencia
desconocida, durante un tiempo exactamente controlado (recordemos que

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
"frecuencia" es la cantidad de ciclos que transcurren durante un segundo de
tiempo). Los medios-ciclos (half-cycles) positivos de la frecuencia desconocida
son contados, y su cantidad en el perodo de conteo permite establecer la
frecuencia.
Si el lado complementario del JK flip-flop es usado para manejar al que sigue,
entonces el contador es conocido como un BACKWARD COUNTER (contador
hacia atrs); esto es, el arranca en 1111 y cuenta sucesivamente hacia abajo,
1110, 1101, 1100, etc.
Este tipo de contador es muy prctico cuando se quiere determinar la
DIFERENCIA entre una frecuencia desconocida y una frecuencia asignada. El
contador puede ser pre-cargado con la frecuencia de entrada. El resduo positivo
o negativo , a la izquierda del contador es la diferencia.
La figura 3.17 muestra un contador de 4 bits, implementado con cuatro flip-flops
tipo T (Toggles), a partir de integrados JK, recordemos que en este caso no se
tiene en cuenta las entradas J y K, por lo que se deben dejar "al aire", en lgica
1 (muchos integrados digitales estn internamente hechos para que sus
entradas queden automticamente en nivel alto cuando son dejados "al aire" sus
terminales).

Hay muchas clases distintas de flip-flops contadores en circuito integrado IC. El


mdulo de un contador especifica la mxima cuenta que el alcanza antes de
reciclar. Los contadores mdulo 10 son muy populares porque ellos reciclan
despus de caer el dcimo pulso de entrada, y por lo tanto proveen una manera
fcil de contar en decimal. Ellos son a menudo llamados CONTADORES DE
DECADAS BCD (Binario Codificado a Decimal), y siempre tienen solamente
cuatro terminales de salida (representan desde el 0000 hasta el 1001). Los
contadores que estn diseados para aprovechar al mximo los cuatro bits del
word nibble (medio byte) de salida, se llaman contadores HEXADECIMALES
(representan desde el 0000 hasta el 1111).
Los contadores pueden tener una variedad de controles de entrada. Un contador
tpico, por ejemplo, se puede programar para que cuente hacia arriba o hacia
abajo (Up/Down). Puede tambin tener entradas de control para regresar la
cuenta a 0's, iniciar la cuenta en cualquier valor deseado, o para indicar los
momentos en los cuales el contador debe trabajar. Estos ltimos terminales son
los habilitadores, o entradas ENABLE. Debido a que los contadores almacenan
la cuenta acumulada hasta que llegue el prximo pulso clock, ellos pueden ser
considerados STORAGE REGISTERS.
Los circuitos integrados TTL contadores ms comunes son
74190 Contador sincrnico Up/Down, BCD, programable ( de 0 9)

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
74191 Contador binario Sincrono Programable
74192 Contador sincrnico Up/Down BCD, programable (de 0 9)
74193 Contador sincrnico de 4 bits binarios, programable, Up/Down
Hay operacin sincrnica cuando se tienen todos los flip-flops "clocked..
Simultneamente, de tal forma que sus salidas cambien al mismo tiempo cuando
lo requiera el proceso lgico director. suministren los datos simultnea y
paralelamente en las salidas Q3 , Q2, Q1, y Q0

ejemplo 41 de aplicacin
Ejemplo 41:
Con un contador comercial disear un circuito secuencial que cuente
en binario en base 8.es decir del 0000 al 0111.
SOLUCION:
Escogemos el contador 74192, en figura 1 para el ejemplo 41 se muestra
la tabla de su modo de operacin,
Para hacer el circuito contador de 0000 a 0111, es necesario que el contador
este en modo UP que inicie en 0000 y que al llegar la cuenta a 0111 el
contador se ponga a cero reset y siga contando. Para lograrlo se requiere
nicamente que se ponga un circuito con una compuerta AND de 4 entradas
como detector de 0111 a la salida del contador y que se enve su salida a la
entrada CLR del contador. Es importante observar que la seal de reset debe
ser un pulso positivo para permitir que el contador no detenga la cuenta esto se
logra pues al detectar el circuito la entrada 0111 se genera un pulso HIGH e
inmediatamente se resetea el circuito permitiendo que el contador siga su
cuenta. Para poder observar la cuenta se usa un reloj de 1 pulso por segundo. El
circuito completo del contador se deja como ejercicio al alumno.
ejemplo 42 de aplicacion
Con un contador disear un circuito que cuente en forma descendente de 1100 a
0000, que tenga una entrada E1 de inicio, que en cualquier momento de la

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
cuenta esta se pueda suspender con una entrada E 2 y reiniciar en 1100, pero si
la cuenta llagara a 0000 el contador se detenga.
Solucion
Seleccionamos el contador binario sincrono programable 74193, su tabla de
modo de control se muestra en la figura 1 ejemplo 42.
Y ademas usamos el circuito 7474 que es un FF tipo D doble con preset y
clear. su tabla de modo de control se muestra en la figura 2 ejemplo 42.
La entrada E2 para suspender se genera con un circuito de push buton de tal
manera que a su salida normalmente tenga el valor lgico de 1 y solo cuando se
accione el interruptor W1 se produzca un valor lgico de 0, esta seal es
conectada en LD , lo que provocara que cada vez que se accione el contador se
pondr en el inicio con el dato de 1100 que se deber poner permanentemente
en las entradas D, C, B, y A
Usamos el primer FF con entrada de inicio E 1 en la entrada clear en este
mismo circuito conectamos a la entrada preset la salida de un cicuito detector
de cero
El circuito completo del circuito se deja al alumno como ejercicio.
3.3 Circuitos de Aritmtica.

CIRCUITOS ARITMTICOS.
Representacin de nmeros con signo. Representacin en signo-magnitud.
Representacin en complemento a uno. Representacin en complemento a dos.
Aritmtica de sumas y restas en las diferentes representaciones. Sumadores y
restadores de un bit. Semisumador y sumador completo. Semirrestador y
restador completo. Sumadores y restadores de mltiples bits en paralelo.
Sumador y restador binario. La propagacin del acarreo: generadores de
acarreo anticipado. Sumador-restador en signo-magnitud. Sumador BCD.
Sumadores y restadores de mltiples bits en serie: el registro acumulador.
Unidades aritmtico-lgicas. Multiplicacin y divisin binaria.
Circuitos Aritmticos
El diseo de sistemas digitales involucra el manejo de operaciones aritmticas.
En esta leccin se implementarn los circuitos de suma y resta de nmeros
binarios.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
3.3.1 Sumadores.
Sumador Medio
El circuito combinacional que realiza la suma de dos bits se denomina sumador
medio. La figura 3.17 muestra el smbolo lgico de sumador medio. En el circuito
las entradas son A y B y la salida S corresponde a la suma y Cout al acarreo de
salida (Ver leccin 1.4.).

figura 3.17 Smbolo lgico del sumador medio


La tabla de verdad tabla 3.6 est dada por las reglas de la suma binaria.

Cout

Tabla 3.6 De verdad del sumador medio


La salida obtenida a partir de la tabla de verdad es:
X + Y = Cout S
El bit de acarreo Cout es 1, slo cuando A y B tienen el valor de 1; por tanto entre
A y B se puede establecer una operacin AND:
Cout = AB

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
El bit de suma S es 1, slo si las variables A y B son distintas. El bit de acarreo
es 0 a no ser que ambas entradas sean 1. Por consiguiente, la salida S puede
expresarse en trminos de la operacin OR Exclusiva:
S = AB + AB = A B
El circuito se muestra en la figura 3.18

3.18 Circuito Lgico del Sumador Medio.


Sumador Completo
El sumador completo acepta dos bits y un acarreo de entrada y genera una
suma de salida junto con el acarreo de salida. La tabla 3.9.2. muestra la tabla de
verdad del sumador completo. Las entradas A, B y Cin denotan al primer
sumando, el segundo sumando y el acarreo de entrada. Las salidas S y Cout
representan a la suma y el acarreo de salida.

A B Cin Cout S
0 0 0

0 0 1

0 1 0

0 1 1

1 0 0

1 0 1

1 1 0

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
1 1 1

Tabla3.7 De verdad del sumador completo


La salida S en la tabla de verdad corresponde a la operacin OR- Exclusiva:
S = ABCin + ABCin + ABCin + ABCin
S = Cin(AB + AB) + Cin (AB + AB)
S = Cin(AB + AB) + Cin (AA + AB + AB + BB)
S = Cin(AB + AB) + Cin ((A + B)(A + B))
S = Cin(AB + AB) + Cin ((AB)(AB))
S = Cin(AB + AB) + Cin (AB + AB)
S = (A B)C
in
El mapa de karnaugh de la salida Cout se muestra en la figura 3.19

figura 3.19Mapa para la salida Cout de un Sumador Completo.


La salida Cout est dada por:
Cout = AB + ACin + BCin
El circuito se muestra en la figura 3.20.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

3.20 Circuito Lgico del Sumador Completo.

3.3.2. Restador.

Restador
En la diferencia, cada bit del sustraendo se resta de su correspondiente bit del
minuendo para formar el bit de la diferencia. El prstamo ocurre cuando el bit del
minuendo es menor al bit del sustraendo, de tal forma que se presta un 1 de la
siguiente posicin significativa.
La resta se implementa mediante un sumador. El mtodo consiste en llevar al
minuendo a una de las entradas y el sustraendo en complemento 2 a la otra
entrada.

Restador Medio
El circuito combinacional que realiza la resta de dos bits se denomina Restador
medio. El circuito tiene dos entrada binarias y dos salidas. La figura 3.21

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
muestra el smbolo lgico de Restador medio. En el circuito las entradas son
A(minuendo) y B(sustraendo) y la salida D corresponde a la diferencia y P al
prstamo de salida.

figura 3.21 Smbolo Lgico del Restador Medio.


Si AB, existen tres posibilidades 0-0=0, 1-0=0 y 11=1. El resultado es el bit
de diferencia D. Si A<B se tiene 0-1 y es necesario prestar un 1 de la siguiente
posicin significativa de la izquierda. El prstamo agrega 2 al bit del minuendo
de manera similar cuando en el sistema decimal se agrega 10 al dgito del
minuendo.
La tabla de verdad 3.8 est dada por las reglas de la resta binaria.

A B P D
0 0 0 0
0 1 1 1
1 0 0 1
1 1 0 0
Tabla 3.9 De verdad del Restador medio.
La salida D coincide con la operacin OR- Exclusiva y se puede expresar de la
siguiente forma:
D = AB + AB
La salida P est dada por la suma de productos de los trminos presentes en el
rengln 2 de la tabla de verdad:
P = AB

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
El circuito se muestra en la figura 3.22

figura 3.22 Circuito Lgico del restador medio.


Restador Completo
El Restador completo realiza la resta entre dos bits, considerando que se ha
prestado un 1 de un estado menos significativo. En la tabla 3.9. las entradas A,
B y C denotan el minuendo, el sustraendo y el bit prestado. Las salidas D y P
representan a la diferencia y el prstamo.

Tabla 3.9 De verdad del Restador Completo.


En las combinaciones del mapa donde C=0, se tienen las mismas condiciones
para el sumador medio. El resto de condiciones se vieron en la leccin 4 del
captulo 1.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
La funcin de la salida D de un restador es la misma que la salida de un
sumador completo:
D = ABC + ABC + ABC + ABC = (A B)
Cin
El mapa de karnaugh de la salida P se muestra en la figura 3.23

Figura 3.23. Mapa para la salida P de un restador completo


La salida P est dada por:
P = AB + AC + BC
El circuito se muestra en la figura 3.20

figura 3.20 Diagrama lgico de un restador completo

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

3.3.3 UAL, Unidad Aritmtica Lgica.


Unidad Aritmtica y Lgica (ALU)
Una unidad aritmtica lgica puede realizar un conjunto de operaciones
aritmticas bsicas y un conjunto de operaciones lgicas, a travs de lneas de
seleccin. En ingls ALU significa Arithmetic Logic Unit (Unidad Aritmtica
Lgica). La figura 3.24. muestra el diagrama de bloques de una ALU.

figura 3.24 Diagrama de bloques de una ALU


Las cuatro entradas de A se combinan con las de B generando una operacin de
salida de cuatro bits en F. La entrada de seleccin de modo S2 distingue entre
las operaciones aritmticas y lgicas. Las entradas de seleccin S0 y S1
determinan la operacin aritmtica o lgica. Con las entradas S0 y S1 se pueden
elegir cuatro operaciones aritmticas (con S2 en un estado) y cuatro logicas (con
S2 en otro estado). Los acarreos de entrada y salida tienen sentido nicamente
en las operaciones aritmticas. El diseo de una ALU implica el diseo de la
seccin aritmtica, la seccin lgica y la modificacin de la seccin aritmtica
para realizar las operaciones aritmticas y lgicas.
Seccin Lgica
Los datos de entrada en una operacin lgica son manipulados en forma
separada y los bits son tratados como variables binarias. En la tabla tabla 3.10.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
se listan cuatro operaciones lgicas OR, OR - Exclusiva, AND y NOT. En el
circuito, las dos lneas de seleccin (S1, S0) permiten seleccionar una de las
compuertas de entrada, correspondientes a la funcin F i .
S0
S1

Salida Funcin Fi
F=Ai+Bi

OR

0 0
0 1 F=AiB

XOR

1 0 F=AiBi

AND

1 1

NOT

F=A'i

Tabla 3.10 Tabla de Funcin Lgica.

El circuito lgico es una etapa de un circuito lgico de n bits.

figura 3.25 Diagrama lgico de un circuito lgico de una ALU


Seccin Aritmtica
El componente bsico de la seccin aritmtica es un sumador en paralelo Las
operaciones aritmticas configuradas en el circuito aritmtico se presentan en la

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
tabla 3.12 En una ALU, la suma aritmtica se puede implementar con un nmero
binario en A, otro nmero en la entrada B y el acarreo de entrada Cin en un valor
lgico 0. El resto de las funciones se enuncian en la columna descripcin.

Seleccin de
Funcin

Salida N Funcin

Descripcin

Transferir A

A+1

Incrementar A

A+B

Suma agregar B a A

A+B+1

Suma con accarreo agregar B a A


ms 1

A+B

Agregar el complemento de 1 de B a
A

A+B+1

Agregar el complemento de 2 de B a
A

Todos
unos

A-1

Decrementar A

Todos
unos

Trasferir A

S1

S0

Cin

Tabla 3.12 De la funciones de un Circuito Aritmtico


La implementacin de las funciones anteriores por medio de un circuito lgico
sencillo se describe a continuacin. El circuito se disea bajo el precepto de
intervenir cada entrada Bi para obtener las siguientes funciones:

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
S1

S0

Ni

Bi

Bi '

Tabla 3.13 Tabla del circuito para la entrada B i


La figura muestra el circuito.

figura 3.26 Circuito para la tabla 3.13


Por medio de estas funciones se pueden lograr las funciones de la tabla 3.12 al
agregar el nmero Ni (tabla 3.13) a la entrada A a travs de un sumador en
paralelo para cada etapa, teniendo en cuenta el valor de la entrada C in. El
circuito combinacional aritmtico se muestra en la figura 3.14. En la figura
3.26a., la entrada A se denomina Mi en el sumador completo.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

figura 3.26a Circuito aritmtico

Diseo de una Unidad Aritmtica Lgica


En el diseo de una ALU se deben seguir los siguientes pasos:
Disear la seccin aritmtica independientemente de la seccin lgica.
Determinar las operaciones lgicas del circuito aritmtico, asumiendo que
los acarreos de salida de todas las etapas son 0.
1.
2.

Modificar el circuito aritmtico para obtener las operaciones lgica


requeridas.
3.

El diseo simple de una ALU se hace utilizando el sumador completo para


generar las operaciones lgicas de la unidad. Por lo tanto es necesario introducir
una variable de control adicional (S2), con el fin de seleccionar entre las
operaciones lgicas y aritmticas. En este diseo, un valor S2 = 1 hace que el
circuito efecte operaciones lgicas. Recordando la salida de un sumador
completo:
F = (Ai B
i)C
in

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
A partir de esta ecuacin, es posible obtener la funcin lgica requerida,
utilizando la debida manipulacin lgica. La funcin requerida se expone en la
tabla

S2

S0 Ai Bi Cin

Operacin
Sumador
Completo

Funcin
requerida Fi

Ai

OR

Ai B i

S1
1

Ai
0 0

0
Ai

0 1
1

Bi
Ai

1 0
1

1 1

AND
0

Ninguna

AiBi

Salida

Aplicar una funcin Ai+Bi


OR Ai + Bi

XOR

Bi'
Ai

Manipulacin

AiBi

Aplicar una funcin


OR Ai + Bi'
AiBi

A'i

Ninguna
NOT

A'i

Tabla 3.14 Tabla de obtencin de las funciones lgicas con un sumador completo
Partiendo de la tabla 3.12.4., las entradas Mi, Ni y Cini en un sumador completo,
son equivalentes a las siguientes expresiones:
Mi = Ai + S2S1'S0'Bi + S2S1S0'Bi'
Ni = S0Bi + S1Bi'
Cini = S2'Ci
La figura 3.27 muestra el diagrama de la unidad aritmtica lgica de dos etapas.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

figura 3.27 Diagrama lgico de una ALU


Las doce operaciones generadas en el ALU se resumen en la tabla 3.15 la
funcin en particular se selecciona a travs de S2, S1, S0 y Cin. Las operaciones
aritmticas son las mismas del circuito aritmtico.

Seleccin

Salida F

Descripcin

S2 S1 S0 Cin

0 0 0

Trasferir A

0 0 0

A+1

Incrementar A

0 0 1

A+B

Suma

0 0 1

A+B+1

Suma con accarreo

0 1 0

A-B-1

Resta con prstamo

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
0 1 0

A-B

Sustraccin

0 1 1

A-1

Decrementar A

0 1 1

Transferir A

1 0 0 X

A+B

OR

1 0 1 X

A B

OR-Exclusiva

1 1 0 X

AB

AND

1 1 1 X

Complementar A

Tabla 3.16 Tabla de verdad de una ALU


Ejemplo 42
Se deja al estudiante trabajo de investigacin documental acerca del desarrollo
histrico de las ALU
.
UNIDAD IV Circuitos Secuenciales
OBJETIVOS PARTICULARES DE LA UNIDAD
Al trmino de la unidad el alumno:
- Interpretar conceptualmente los principios de memoria diferencindola de la
evocacin para comprender sus funciones de almacenamiento y retencin,
as como la representacin de datos, su agrupamiento y dimensiones, los
cuales requieren que se describan en trminos de lgica secuencial.
CIRCUITOS SECUENCIALES
Los circuitos secunciales, de la misma forma que los combinacionales, estn
constituidos por puertas lgicas, y como en estos ltimos, la escala de
integracin de la mayora de los circuitos disponibles por los fabricantes en es la
MSI
El concepto de circuito secuencial es una expresin que se aplica a aquellos
circuitos lgicos en los que sus valores en el estado presente dependen del

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
estado anterior y a su vez condicionan los del estado siguiente. Lo que se ilustra
en la figura 4.1

ANTERIOR

PRESENTE

SIGUIENTE

Figura 4.1 Estados para un circuito secuencial


Expresado de otra forma:
Los circuitos secuenciales son aquellos en que los valores de las salidas
depende del valor de las entradas y del estado anterior.
Es importante sealar que los valores que podemos observar y medir siempre
sern nicamente los del estado presente.
Segn su funcionamiento existen dos tipos de circuitos secuencial; Asncronos,
los que no dependen de una seal o reloj de sincrona y los Sincronos, los que si
dependen de una seal o reloj de sincrona.
4.1.2 Flip-flops, contadores y registros.
Como ya se dijo el circuito fundamental en que se basa la construccin de
circuitos secuenciales es el Flip-flop o circuito basculante.
Existen tres circuitos clasificados segn la forma en que retienen o memorizan el
estado que adoptan sus salidas, estos son...
*Circuitos Monoestables: Estos circuitos cambian de estado slo si se
mantiene la seal de entrada (nivel alto o bajo), cuando sta se quita, la salida
regresa a su estado anterior, es decir poseen un slo estado estable y otro
metaestables.
*Circuitos Astables o Aestables: Son circuitos gobernados por una red de
tiempo R-C (Resistencia-Capacitor) y un circuito de realimentacin, a diferencia
de los anteriores se puede decir que no poseen un estado estable sino dos
metaestables
*Circuitos Biestables o Flip-Flop (FF): Son aquellos que cambian de estado
cada vez que reciben una seal de entrada (ya sea nivel bajo o alto), es decir

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
retienen el dato de salida aunque desaparezca el de entrada, poseen dos
estados estables.
Flip-flop asincronos
Son aquellos circuitos que carecen de impulso de reloj y, por lo tanto, la salida
basculara en la medida en que cambien las entradas.

En la unidad 3 ya se han tratado desde el punto de vista de construccin de los


circuitos a los flip-flops,, en este apartado se har desde la perspectiva de la
aplicacin, en el diseo de circuitos secuenciales.

Flip flop RS
Dispositivo de almacenamiento temporal de dos estados (alto y bajo), cuyas
entradas principales, R y S, a las que debe el nombre, permiten al ser activadas:

R: La puesta a cero (reset en ingls), pone a 0 a nivel bajo la salida.


S: El poner a uno (set en ingls), pone a 1 a nivel alto la salida.

Si no se activa ninguna de las entradas, el biestable permanece en el estado


que posea tras la ltima operacin de borrado o grabado.
En ningn caso deberan activarse ambas entradas a la vez, pues no se podra
determinar el estado en el que quedara la salida.
Su tabla de verdad es la siguiente (Q representa el estado actual de la salida y q
el estado anterior a la ltima activacin):

Tabla de verdad biestable RS


R
0

X.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
0

X.

X.= Estado no determinado


Tabla 4.1 Tabla de excitacin del FF RS
Flip flop D
Dispositivo de almacenamiento temporal de dos estados (alto y bajo),
cuya salida adquiere el valor de la entrada D cuando se activa la entrada
de sincronismo de relog.
La ecuacin caracterstica del biestable D que describe su comportamiento es:
Q siguiente= D
y su tabla de verdad:

D Q Qsiguiente
0 X 0
1 X 1
X=no importa
Tabla 4.2 Tabla de excitacin flip-flop D

De la tabla de excitacin se puede observar que el estado siguiente es un reflejo


del valor de la entrada D, por lo que tambin se le denomina comnmente como
Flip-flop espejo.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Biestable JK
Es un dispositivo de almacenamiento temporal de dos estados (alto y bajo),
cuyas entradas principales, J y K, a las que debe el nombre, permiten al ser
activadas:

J: Puesta a uno (set en ingls), pone a 1 nivel alto de la salida.


K: limpiado o puesta a cero (reset en ingls), pone a 0 nivel bajo de la
salida.

Si no se activa ninguna de las entradas, el biestable permanece en el estado


que posea tras la ltima operacin de borrado o grabado. A diferencia del
biestable RS, en el caso de activarse ambas entradas a la vez, la salida
adquirir el estado contrario al que tena.
La ecuacin caracterstica del biestable JK que describe su comportamiento es:
Q siguiente = JQ+KQ

Y su tabla de verdad es:


J K Q(t+1)
0 0 Q(t)

Sin cambio

0 1 0

Restablecer

1 0 1

Estableser

1 1 Q( )
t

Complementar

Tabla 4.3 Tabla de excitacin del FF tipo JK

Flip/flop JK activo por flanco

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Junto con las entradas J y K existe una entrada C de sincronismo o de reloj cuya
misin es la de permitir el cambio de estado del biestable cuando se produce en
el un flanco de subida o de bajada, segn sea su diseo. Su denominacin es JK Flip-Flop disparado por flanco.

Flip-Flop tipo T
Smbolo normalizado: Biestable T activo por flanco de subida.
Dispositivo de almacenamiento temporal de dos estados (alto y bajo). El FF T
cambia de estado (toggle en ingls) cada vez que la entrada de sincronismo o
de reloj se dispara. Si la entrada T est a nivel bajo, la bscula retiene el nivel
previo. Puede obtenerse al unir las entradas de control de un biestable JK, unin
que se corresponde a la entrada T.
La ecuacin caracterstica del biestable T que describe su 102omportamiento es:
Q siguiente= T o bien Q
y la tabla de verdad:

T Q Qsiguiente
0 0 0
0 1 1
1 0 1
1 1 0
Tabla 4.3 Tabla de excitacin del FF tipo T

Unos de los circuitos secuenciales mas comnmente empleados por sus


mltiples aplicaciones son los circuitos contadores y los circuitos de registros

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
CONTADORES
Un contador es un circuito secuencial de aplicacin general, cuyas salidas
representan en un determinado cdigo el nmero de pulsos que se presentan a
la entrada.
Estn constituidos por una serie de flip-flops conectados entre si de modo que
las salidas de estos cambian de estado cuando se aplican impulso. a la entrada.
(para un estudio detallado de estos circuitos se recomienda el libro de R Tocci
Sistemas Digitales, principios y aplicaciones, Prentice Hall 8 Edicion 2004)
La capacidad de un contador es el nmero mas elevado, expresado en
cualquiera de los cdigos binarios, que puede ser representado en sus salidas
es decir pueden ser Binarios, decimal o modulo M
En los contadores binarios el nmero de estados es mltiplo de dos, decimales
el nmero de estados es mltiplo de 10, y mdulo M se refiere a un nmero m
de estados, en otras palabras a la base M en que se desea que cuente.
Cuando el contador llega al valor mximo de su capacidad, comienza a contar
de nuevo desde cero al aplicarle el siguiente impulso.
Dependiendo del modo de operacin, los contadores pueden ser ascendetes si
su cuenta se incrementa con cada impulso, descendentes, si su cuenta
disminuye.
Por otro lado, los contadores se dividen en sincronos y asncronos. Los
primeros, son aquellos en los que los pulsos de reloj se aplican simultneamente
a todos los biestables, y por tanto, todas las salidas cambian la mismo tiempo.
En los asncronos, la seal de reloj se aplica a la entrada del primer biestable, la
salida de ste a la entrada de reloj del siguiente, y as sucesivamente el tiempo
de propagacin de estos dispositivos, es superior al de los sncronos (la seal
tiene que pasar por todos los bits menos significativos hasta llegar a un
determinado bit).
Adems en todos los casos anteriores, la cuenta no tiene por qu empezar a
terminar en 0, el diseo de contadores sncronos se hace de igual forma que
para cualquier circuito secuencial.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

REGISTROS
Son dispositivos para el almacenamiento de informacin binaria.
Existen diversos tipos de registros, dependiendo de la funcin que desarrollen
Registros de almacenamiento simple. Su funcion es basicamente la de
almacenar una informacin.

Registros de conversin serie-paralelo. Son registros que realizan la


conversin de datos que accede a ellos en serie, a un formato en paralelo

Registros conversion paralelo-serie. Son registros que realizan la


funcin inversa a los anteriores, es decir, los datos que accede a ellos en
paralelo, le dan formato serie

Registros de desplazamiento. Son registros que permiten


desplazamiento de la informacin que almacenan Tambin suelen servir para las
funciones anteriores
En la unidad III anterior se hicieron ejemplos con contadores comerciales, en
muchas ocasiones se requiere de un circuito que cuente en una secuencia en
forma particular o que se requiera disear el circuito empleando compuertas de
FF y no precisamente circuitos contadores comerciales. Para efectuar el diseo
se requiere del concepto de diagrama de estados, tema que se trata en el
siguiente apartado.
4.2 Procesos y mquinas secuenciales.

MQUINAS DE ESTADO DE MEALY Y MOORE


Los circuitos secuenciales se clasifican dentro de una categora conocida como
mquinas de estado, de la cual se distinguen comnmente dos tipos:

Mquina de Mealy: En esta mquina de estados las salidas se encuentran


determinadas por el estado interno del sistema y por las entradas no
sincronizadas con el circuito. El diagrama de bloques representativo de
esta mquina se muestra en la el circuito. El diagrama de bloques

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
representativo de esta mquina se muestra en la figura donde se observa
que las salidas del sistema son tanto sincrnicas como asincrnicas.

figura 4.3 Maquina de estados de Mealy

Mquina de Moore: Las salidas solo dependen del estado interno y de


cualquier entrada sincronizada con el circuito, las salidas del sistema son
nicamente sincrnicas. Un ejemplo de este tipo de mquinas de estado
son los contadores.

figura 4.4 Maquina de estados de Moore

MAQUINA DE ESTADOS DE MOORE


Se distinguen dos tipos circuitos secuenciales sincronos y asncronos
Los sncronos, requieren una seal de control procedente de un generador
externo al propio circuito, que funciona, de modo que si no se aplica dicha seal
no se hacen efectivos los valores presentes en las entradas. Este mtodo se
emplea cuando el sistema electrnico es complejo y los tiempos de conmutacin
de los diversos dispositivos que lo constituyen son distintos . La seal de control,
tambin denominada reloj (Clock, o Clock Pulse), se aplica a las entradas del
mismo nombre de cada bloque integrado para sincronizar la transmisin de
datos o informacin a travs del sistema. La frecuencia de la seal elctrica
debe adaptarse a la velocidad de conmutacin del dispositivo ms lento del
circuito.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
En cambio, los sistemas secuenciales asncronos no poseen entrada de reloj, y
los cambios en las variables de estado interno y los valores de salida se
producen al variar los valores de las entradas del circuito.
DIAGRAMAS DE ESTADO
Un diagrama de estados es una representacin grfica que indica la secuencia
de los estados que se presentan en un circuito secuencial, teniendo en cuenta
las entradas y salidas. El diagrama se forma con crculos y lneas. Los circulos
representan los estados del circuito secuencial y cada uno de ellos contiene un
nmero que identifica su estado. Las lneas indican las transiciones entre
estados y se marcan con dos nmeros separados por un (/), estos dos nmeros
corresponden a la entrada y salida presentes antes de la transicin.

figura 4.5 diagrama de estados

TABLAS DE ESTADO
Una tabla de estado es un listado que contiene la secuencia de los estados de
entradas, estados internos y salidas del sistema, considerando todas las
posibles combinaciones de estados actuales y entradas. Las tablas de estado
por lo general se dividen en cinco partes: estado presente, entradas, estado
siguiente, salidas y excitacion.

Estado presente Entrada Estado siguiente Salidas Excitacin


A

DA

DB

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
0

Figura 4.6 Tabla de estado


La variables del estado presente mas las de entrada definen el nmero de
combinaciones posibles, esto es:
La tabla de estado para un circuito secuencial con m flip-flops y n entradas tiene
2m+n filas. El estado siguiente tiene m columnas, y el nmero de columnas
depende del nmero de salidas.
Las ecuaciones del circuito se establecen con los valores de las columnas de
salidas y excitacin que dependen del flip flop a emplear.

4.3 Diseo de circuitos sincronos de lgica secuencial.

1.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
DISEO DE CIRCUITOS
Para la realizacin de un circuito secuencial es conveniente seguir los cuatro
pasos siguientes:
1. Definicin del problema.
2. Establecer el diagrama de estados que de solucin al problema.
3. Construir Tabla de Verdad de diagrama propuesto y realizar la reduccin
de
ecuaciones.
4. Dibujar el diagrama esquemtico del circuito lgico

1.Definicin del problema. En este punto conviene definir y entender


perfectamente el problema propuesto, para ello , como resultado final de este
punto tendremos definidos

Si existen valor inicial y valor final


Es decir si el circuito deber iniciar en algn valor determinado o si
tambin tendr un valor en que termine la secuencia

Numero de entradas de control


Se refiere a que si el sistema tendr seales de entrada que dependiendo
de su valor modifiquen la secuencia que el circuito deber ejecutar, es
importante tener en cuenta que Una sola entrada tiene dos valores
diferente es decir para la entrada unica E 1 se tendra E1=0 y E1= 1.
Para dos entradas E1 y E2 se tendrn 4 valores; 00, 01, 10, y 11

Numero de Estados requerido


Se refiere a cuantos estados resuelven el problema propuesto

Numero de FF a emplear
Determinar el Numero de FF necesario para generar los estados, se
determina con la relacion 2No de FF No de Estados.
Por ejemplo para cuatro estados, sera el No de FF = 2, pues 2 2 4.
Para 9 estados se requieren 4 FF, pues 249.

Numero de bits salidas


Es definir el numero bits que permitirn tener la salida requerida.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Por ejemplo para una secuencia que tenga como numero mayor 111101
se requieren 6 bits de salida.

Determinar si ser una maquina de estados tipo More o Mealy


Finalmente conviene definir con que tipo de maquina de estados se
propondr la solucin. Comentario: es posible emplear los dos tipos de
maquina para resolver un mismo problema, el decidir cual conviene,
depende del contexto general de donde se desprende el problema a
resolver. Ilustrarlo queda fuera del propsito de este polilibro.

2. Establecer el diagrama de estados que de solucin al problema.


Dependiendo del tipo de maquina a emplear se propone una solucin en
diagrama de estados, se deber verificar a detalle y cubriendo todas las
posibilidades posibles que verdaderamente sea una solucin al problema a
resolver. Tener en cuenta que si se tienen por decir 2 entradas de control cada
estado deber tener cuatro posibles salida hacia el mismo u otro estado.
Tambin existen tcnicas para reducir estados redundantes o repetidos, se
recomienda ver el libro de R. TOCCI o el de T. Floyd sealados en la bibliografa.
3.- Construir Tabla de Verdad de diagrama propuesto y realizar la reduccin de
ecuaciones.
A partir del diagrama de estados se obtiene la informacin para determinar la
tabla de verdad, llenando los campos que se proponen.
En la figura se muestra una tabla de estados para 2 FF y una entrada E 1
con un solo bit de salida Y y empleando FF tipo D

Estado presente Entrada Estado siguiente Salidas Excitacin


A

E1

DA

DB

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
1

Debemos notar que las columnas de estado presente y entrada definen el


tamao de la tabla, para este ejemplo es 23 por tener 2 estados + 1 bit de salida.
Las ecuaciones que se buscan las definen las columnas de salidas Y y
excitacin DA y DB
4.- Dibujar el diagrama esquemtico del circuito lgico
Se recomienda que en el dibujo esquemtico, adems de dibujar las compuertas
con su smbolo, se indiquen los nmeros correspondientes de la compuerta a
utilizar.

El procedimiento de diseo se ilustra con los siguientes ejemplos:


Ejemplo 43 Disear un circuito contador que siga la secuencia 0, 2, 4, 8,
que no tenga valor inicial ni valor final.
SOLUCION:
Para este problema en particular en que se requiere generar la secuencia
0,2,4,8 en binario, tendremos:

Si existen valor inicial y valor final


R.- no existen
Numero de entradas de control
R.- no existen
Numero de Estados requerido

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
R.- se necesitan 4 estados, para generar cada uno de los valores de 0, 2,
4y8
Numero de FF a emplear
R.- se usaran 2 FF para generar los 4 estados necesarios.
Numero de bits salidas
R.- Se definen X, Y, Z, y W como bits de salida
Para poder tener el mayor numero 810 = (1 0 0 0)2
Determinar si ser una maquina de estados tipo More o Mealy
R.- Para este ejemplo se propone usar una maquina tipo More, puesto
que la salida esta directamente relacionada con el estado.
2.- Diagrama de estados (maquina de Moore)

3.- Construir Tabla de Verdad de diagrama propuesto y realizar la reduccin de


ecuaciones.
Para este ejemplo la tabla de estados no contiene la columna de entradas por
lo tanto el tamao de la tabla sera de 22

Estado presente Estado siguiente Salidas Excitacin


A

X Y Z W DA

DB

0 0 0 0 0

0 0 1 0 1

0 1 0 0 1

1 0 0 0 0

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Figura del ejemplo 43. Tabla de verdad
Del diagrama se observa que del estado 00 se va al 01 y que su salida es 0000,
del estado 01 se va al 10 con salida 0010, del 10 al 11 con 0100 de salida y
finalmente del 11 se va al 00 con salida 1000. lo que se refleja en la tabla.
Finalmente en la columna de excitacin se contempla el uso de FF tipo D por lo
que para DA y DB se pondrn los valores de A y B de la columna del estado
siguiente, pues recordemos que a los FF tipo D se les conoce tambin como de
espejo.
Las ecuaciones que se buscan son
X = AB
Z = AB
Y = AB
W=0
DA = AB + AB
DB = AB + AB

Ejemplo 43b; Disear circuito en donde si la entrada es 1 se muestre la


secuencia: 8,4,2,1 y si la entrada es = 0 se muestre la secuencia
1,2,4,8
SOLUCION
1. Definicin del problema.
Si E= 1 entonces mostrar 8,4,2,1
E= 0 entonces mostrar 1,2,4,8,
No existe valor inicial ni valor final.
Numero de entradas = 1 = E
Numero de salidas = 4 = X, Y, Z, W
Numero de estados = 4 por tanto el No de FF = 2 = A, B
2. diagrama de estados (maquina de Mealy)

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

Figura 4.7 Diagrama de estados


3.- Construir Tabla de Verdad de diagrama propuesto y realizar la reduccin de
ecuaciones
Estado presente Entrada Estado siguiente Salidas

Excitacin

X Y Z W DA

0 0 0 1

0 1 0 0

0 0 1 0

1 0 0 0

0 1 0 0

0 0 0 1

1 0 0 0

0 0 1 0

verdad

DB

Figura
4.8
Tabla
de

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
X = (3,6)
Y = (2,4)
Z = (2,7)
W = (0,5

DA = (1,2,4,7)
DB = B
Figura 4.7Diagrama esquemtico
X
+5

3
6

74138
A
B
E

0
1
23
4
56
-7

Y
2
4
3
6

2
7
3
6

0
5
3
6
1
2
4
7

DA
74174

DB
74174
CP

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

CP

Ejemplo 43 C Disear un circuito secuencial con una entrada W


sincronizada a la seal de reloj, que acepte la secuencia 0011 y que cada
vez que la detecte, su salida se Q ponga en ALTO y regrese a condiciones
iniciales para detectar de nuevo la secuencia.
1. Definicin del problema.
A como se van presentando los valores de 0 o 1 en la entrada W, se generara
una secuencia, digamos 00001100101110011011000100, en donde se desea
detectar cuando ocurra 0011 poniendo en ALTO la salida Q y reestableciendo las
condiciones iniciales a fin de detectar nuevamente la ocurrencia de otra
secuencia.
2.- Diagrama de estados este ejercicio se puede resolver ya sea con la a).maquina de Mealy o con b).-Maquina de Moore
a).- maquina de Mealy

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

Figura 1 para ejemplo 43 C: Detector de secuencia 0011 (maquina de Mealy)


3.- Construir Tabla de Verdad de diagrama propuesto y realizar la reduccin de
ecuaciones

Estado presente Entrada Estado siguiente Salidas Excitacin


A

DA

DB

ecuaciones son;

Las

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Q = ABW
(7)
DA = ABW + ABW + ABW = (2,4,5)
DB = ABW + ABW + ABW= (0,5,6)

b).- maquina de Moore

En el modelo de Moore fig 2. la salida est en el mismo estado por eso se


genera un estado ms que en la maquina de Mealy, adems de que despus de
detectar la secuencia correcta 0011 en E4, la entrada siguiente es considerada
como el primer dato

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
figura 2 del ejemplo 43 C. Detector de la secuencia 0011 (maquina de Moore)
Estado presente Entrada Estado siguiente Salidas Excitacin
A

DA DB DC

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Las ecuaciones son
Q= (8,9)
DA = (7)
DB = (2,4,5,6)
DC = (0,5,8)

UNIDAD V

COMPONENTES Y APLICACIONES.
OBJETIVOS PARTICULARES DE LA UNIDAD

Al trmino de la unidad el alumno:


- Diferenciar funcionalmente y sus caractersticas de funcionamiento de las
familias de componentes lgicas ms empleadas, as como la aplicacin de
los conocimientos adquiridos en un caso prctico

5.1 Componentes y aplicaciones.


A los conjuntos de circuitos electrnicos formados por transistores y diodos, con
los que se forman compuertas, y a su ves con estas, se construyen circuitos
digitales complejos para realizar funciones especficas, todo ello dentro de un
solo circuito integrado reciben el nombre genrico de Compuertas Lgicas y se
agrupan de acuerdo a sus caractersticas elctricas en Familias. Existe gran
variedad de fabricantes de compuertas, se puede consultar la pagina
www.xeltek.com que en su seccion RESOURCE IC manufacturers muestra
una lista muy completa de fabricantes.
Es necesario leer y entender de una forma practica las terminologas de las
hojas de especificaciones de estos circuitos para analizarlos y emplearlos, pues
los fabricantes tienen una gran cantidad de circuitos disponibles para
aplicaciones diversas. Se recomienda el libro Sistemas digitales, principios y
aplicaciones, capitulo 8 del autor Ronald J. Tocci para un estudio mas completo.
El propsito de esta unidad se limitara a estudiar en las hojas de
especificaciones lo concerniente a la tabla que muestra el modo de control u
operacin de los componentes y su aplicacin en diseos sencillos.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
5.1.2 Familias TTL ,CMOS, INTEL y Motorola.
La tecnologa de los CI digitales a tenido un gran desarrollo: desde la
integracin en pequea escala (SSI) con hasta 12 compuertas, la integracin a
mediana escala (MSI) con entre 12 a 99 compuertas por chip; la integracin a
gran y muy gran escala (LSI y VLSI) con decenas de miles de compuertas hasta
las mas recientes con integracin hasta de millones de compuertas (GSI).
Por sus caractersticas elctricas CI digitales se han agrupado en familias, de tal
manera que en lo general solamente se pueden conectar entre si componentes
de una misma familia, debindose emplear un circuito puente como interfase
cuando se requieren conectar CI de diferentes familias, lo cual no siempre es
factible.
En el uso de estas familias conviene tener presente los siguientes conceptos:
Compatibilidad de pines: Dos CI tienen compatibilidad de pines cuando los dos
presentan configuraciones iguales de pines, es decir cada pin o patita tiene la
misma funcin en ambos circuitos.
Funcionalmente equivalentes: Dos circuitos son funcionalmente equivalentes
cuando ambos realizan exactamente la misma funcin lgica, por ejemplo
ambos son contadores binarios o tienen 6 compuertas inversoras, etc.
Elctricamente compatibles: Dos CI son elctricamente compatibles cuando se
pueden conectar entre si, sin tomar ninguna medida especial para asegurar su
correcto funcionamiento.
Familia TTL
Este grupo se identifican con los nmeros de inicio 74XXX, son las que se han
venido empleando muy frecuentemente, su consumo de potencia es por ejemplo
para un circuito de 4 compuertas NAND del orden de 10 mW que es alto pues
para 10 CI de este tipo se requerirn 100 mW de potencia. Su manejo manual
no requiere ningn cuidado en lo particular, estas compuertas tiene en lo general
y nicamente como referencia las siguientes caractersticas elctricas: Voltaje de
alimentacin Vcc = 5.0 0.4 Volt, Corriente de salida promedio de 3 mA, Voltaje
de salida nivel bajo VL 0.5 Volt, Voltaje de salida nivel alto V H 2.5 volt, Voltaje
de entrada nivel alto VH 2 Volt, Voltaje de entrada nivel bajo V L 1Volt, para
conocer los valores reales se debe de consultar la hoja de datos del fabricante
del CI en particular. Cabe sealar que dentro de la familia TTL existen diferentes
subclases, por ejemplo 74SLXX, 74ASXX; con caractersticas especificas
diferentes principalmente en lo que se refiere a consumo de potencia y velocidad
de respuesta.
Famila CMOS
La familia CMOS de CI compite directamente con la familia TTL, en tipos de
compuertas LSI y MSI, en equipos nuevos se usan cada vez mas estos tipos de

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
circuito, principalmente por su bajo consumo de potencia, que para un CI con 4
compuertas NAND requerir del orden de solamente 0.000 010 mW o 10 nanoW
que significa en trminos prcticos un milln de veces menos el consumo de
potencia que la familia TTL para un circuito similar, los que explica su amplio
uso, sobre todo cuando se requiere usar bateras como fuentes realimentacin.
Al igual que con la otra familia existen subclases que muestran caractersticas
elctricas diferentes pero todas coinciden con su bajo consumo de potencia. La
serie mas antigua es la que inicia con los nmeros 40XXX la cual en lo general
es de baja velocidad, actualmente la serie 74CXX y 74HCXX son compuertas de
mayor velocidad sobre todo la serie HC que muestra valores hasta 10 veces
ms rpida en comparacin de los dispositivos 74LS.
Para su manejo manual se debe de tener la precaucin de usar ropa de algodn
y una pulsera antiesttica que se pueda conectar a tierra.
nicamente como referencia, las caractersticas elctricas de esta familia son:
La serie 40XXX tiene un voltaje de alimentacin entre 3 a 15 volt pero la serie
74CXX y la 74HCXX tienen un voltaje de alimentacin entre 2 a 6 Volt. Los
niveles de voltaje y corriente para la entrada y salida son diferentes para cada
serie, conviene consultar la hoja de especificaciones del fabricante para cada
circuito en particular.
Familias Intel y Motorola.
Estas familias son de muy alta integracin a gigaintegracion (USI a GSI) pues
sus circuitos CI realizan funciones muy complejas como son memorias PROM,
EEPROM, circuitos complejos de aplicacin especifica FPGA, y circuitos
programables como microprocesadores y microcontroladores, el estudio de
estos circuitos esta fuera del alcance y propocito de esta unidad.
5.2 Diseo prctico de una aplicacin y prototipo.
PONER LA APLICACIN DEL RELOG DE AJEDRES
Se presenta como ejemplo practico de aplicacin el proyecto final que
presentaron mis alumnos de la secuencia 1nm4 de la asignatura sistemas
digitales I de Ingeniera informtica, 1er semestre de agosto diciembre de
2005:

PROYECTO FINAL
RELOG DIGITAL DE AJEDREZ
El ajedrez es un juego que requiere de mucha destreza mental para que
cada uno de los jugadores realice una jugada que lo lleve a ganar el juego.
Uno de los problemas de este juego, es el tiempo que se dedica a pensar
para hacer una jugada, en algunas ocasiones el juego suele durar mucho, ya

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
que los jugadores inexpertos tardan en hacer sus jugadas provocando as
que el juego se prolongue a un tiempo bastante considerable. Es por ello
que un reloj de ajedrez es til en casos como ste, ya que adems de
controlar los tiempos que tiene cada jugador para hacer su jugada, es una
limitante que puede hacer de ste juego ms interesante y menos tedioso..

FUNCIONAMIENTO DEL RELOJ DE AJEDREZ


El reloj de ajedrez cuenta con dos modos de funcionamiento para el
control de tiempos de los jugadores, se puede seleccionar el
funcionamiento en minutos o en segundos mediante un dip switch. Ya
que se tiene seleccionado el modo en el que se va a jugar se
programan los tiempos para cada uno de los jugadores en cada uno de
los dip switchs de cada uno de ellos. Al ya tener elegido el modo a
jugar y al haber programado los tiempos para cada jugador se
enciende otro dip switch que controla el momento en que empieza a
correr el tiempo para el primer jugador.
Si se elige el modo segundos, los displays de cada uno de los
jugadores va cambiando segundo a segundo de manera que la cuenta
de cada jugador decrece. Cuando el primer jugador termina de hacer
su jugada oprime inmediatamente un push button que produce que su
cuenta se detenga y se haga un reset en la misma al nmero original
que fue programado, ocasionando al mismo tiempo que la cuenta del
otro jugador empiece a decrecer como el jugador anterior, siguiendo la
misma mecnica. Si a alguno de los dos jugadores le decrece su
cuenta hasta cero y no hizo su jugada en ese tiempo, se enciende un
led que indica que ese jugador a perdido el juego.
Si se elige el modo minutos, los displays de cada uno de los jugadores va
cambiando minuto a minuto de manera que la cuenta de cada jugador decrece.
Cuando el primer jugador termina de hacer su jugada oprime inmediatamente un
push button que produce que su cuenta se detenga, ocasionando al mismo
tiempo que la cuenta del otro jugador empiece a decrecer como el jugador
anterior, siguiendo la misma mecnica. Si a alguno de los dos jugadores le
decrece su cuenta hasta cero y no hizo su jugada en ese tiempo, se enciende un
led que indica que ese jugador a perdido el juego.

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN
Diagrama Lgico Del Circuito De Reloj De Ajedrez

POLILIBRO SISTEMAS DIGITALES I


MARIO ALBERTO SESMA MARTINEZ
MIGUEL ANGEL TORRES DURAN

Dejar espacio para el desarrollo del proyecto

Conclusiones
Dejar entrada para concluciones

Ejercicios
Unidad I
Unidad II
Unidad III
Unidad IV
Unidad V

Blibiografia

You might also like