You are on page 1of 15

FORMATO PARA REGISTRO DE PROYECTOS DE AULA, DE

GRADO Y DE SEMILLEROS DE INVESTIGACIN:


PROPUESTA LEA

IDENTIFICACIN DEL PROYECTO Y/O SEMILLERO


Fecha
30 / MARZO / 2015
Nivel
de
Formacin
Programa
7
Ingeniera Electrnica
(Indique Grado o Semestre)
Acadmico
Ttulo del Proyecto IMPLEMENTACION DE UN CONTROLADOR DIGITAL EN UN SISTEMA EMBEBIDO
Cdigo
Autor(es)
Cdigo
HARBY TORRES AVILA
1135083
Cdigo
Docente (s) Asesor del JOS FERNANDO VALENCIA M, Ph.D.
Proyecto
Asignatura (s) asociada (s)
CONTROL DIGITAL (CONTROLES II)
(indique el curso (s) o
asignatura (s) originarias de
la propuesta)
harbytorres@gmail.com
3137195399
Telfonos de
E-mail de Contacto
Contacto
Proyecto y/o
Proyecto y/o investigacin
ESTADO DEL PROYECTO
investigacin en curso
Terminada
Proyecto de Propsito Individual
X
Proyecto Final de Aula
Proyecto Parcial de Aula
Proyecto de Semillero de Investigacin
Tipo de Proyecto
Proyecto Interdisciplinario
Proyecto Integrador
Proyecto de Grado
Otro/Cul

INFORMACIN DEL PROYECTO


1. TITULO
Afirmacin precisa que hace referencia al tema en torno al cual gira el proyecto de investigacin.

IMPLEMENTACION DE UN CONTROLADOR DIGITAL EN UN SISTEMA EMBEBIDO


2. INTRODUCCIN. (Mximo 10 lneas)
Descripcin breve del tema de investigacin, dirigido a orientar al lector sobre la condicin a investigar.

Un sistema de control, es un tipo de sistema que se caracteriza por la presencia de una serie de
elementos que permiten el funcionamiento de una manera ptima de un proceso. El objetivo de un
sistema de control es conseguir, mediante la manipulacin de las variables de entrada, un dominio
sobre las variables de salida, de modo que estas alcancen unos valores pres establecidos.
En este proyecto final de curso se presenta la implementacin de un sistema de control digital,
utilizando un sistema embebido como un microprocesador, un PIC, una FPGA, un PC o una tarjeta
Este documento es uno de los mecanismos que utiliza el programa de ingeniera electrnica para llevar un registro de los avances y/o
resultados de los proyectos que desarrollan sus estudiantes; del mismo modo, pretende oficializar las acciones que se llevan a cabo en
torno a la formacin de competencias investigativas en los estudiantes, desde los diferentes cursos que conforman el plan de estudios.
Pgina 1 de 15

FORMATO PARA REGISTRO DE PROYECTOS DE AULA, DE


GRADO Y DE SEMILLEROS DE INVESTIGACIN:
PROPUESTA LEA

INFORMACIN DEL PROYECTO

de adquisicin de datos para lograr el objetivo principal, basndose en resultados obtenidos con la
ayuda del software de simulacin MATLAB.

3. PLANTEAMIENTO DEL PROBLEMA (mximo 15 lneas)


Descripcin de la situacin y problemtica que soporta al estudio

El control de un proceso, sistema o planta se puede realizar de forma anloga como se usaba
anteriormente, sin embargo en la actualidad debido al espacio fsico que ocupan, complejidad en su
funcionamiento y su gran robustez se han dejado de manejar, dando paso a herramientas
electrnicas actuales ms eficientes y efectivas que nos permiten realizar este mismo tipo control
pero desde un punto digital o computarizado con una velocidad de ejecucin ms eficaz, ms
facilidad en su funcionamiento, ms precisin, menos errores y con una amplia lista de funciones
complementarias a utilizar las cuales hacen que estos sistemas digitales sean en la industrial de hoy
en da los ms utilizados.
Tambin se tiene la ventaja de la utilizacin de diferentes software los cuales ofrecen poder realizar
una simulacin en tiempo real del proceso a controlar el cual es de gran ayuda para poder disear,
implementar y sintonizar cualquier tipo de control digital.
Al conocer las ventajas del controlador digital que mencionamos anteriormente y teniendo muy en
cuenta las pequeas desventajas de estos sistemas se plantea la aplicacin de estos conceptos en
nuestro proyecto a construir.

4. JUSTIFICACIN. (mximo 15 lneas)


Razn o razones del por qu se elige el proyecto, mostrar la importancia, relevancia e impacto del proyecto a
desarrollarse

La evolucin que ha tenido la electrnica en la actualidad nos permite el uso de nuevas


herramientas en la construccin de procesos industriales reduciendo costos, tiempos, y espacio, es
por esta razn que los sistemas embebidos se convierten en un principal componente para la
implementacin de controladores digitales, ya que con ellos se pueden desarrollar varios tipos de
modelos de control logrando modificarlos aun cuando este modelo ya est terminado, obteniendo
tambin una fcil comunicacin entre dispositivos utilizados en estos sistemas lo cual es vital para
realizar pruebas y definir acertadamente y en detalle todas las variables a utilizar en el controlador
digital.
En el proyecto planteado en el curso se propone una metodologa secuencial , haciendo uso de los
conceptos vistos en clase, los resultados obtenidos tanto en simulaciones y modelamientos
matemticos, para as reuniendo todas estas herramientas poder llevar a cabo eficazmente y de
una manera precisa el desarrollo del proyecto.

Este documento es uno de los mecanismos que utiliza el programa de ingeniera electrnica para llevar un registro de los avances y/o
resultados de los proyectos que desarrollan sus estudiantes; del mismo modo, pretende oficializar las acciones que se llevan a cabo en
torno a la formacin de competencias investigativas en los estudiantes, desde los diferentes cursos que conforman el plan de estudios.
Pgina 2 de 15

FORMATO PARA REGISTRO DE PROYECTOS DE AULA, DE


GRADO Y DE SEMILLEROS DE INVESTIGACIN:
PROPUESTA LEA

INFORMACIN DEL PROYECTO


5. OBJETIVOS.
Presentacin del objetivo general y los objetivos especficos de su investigacin.

OBJETIVO GENERAL

Implementar un controlador digital en un sistema embebido

OBJETIVOS ESPECIFICOS

Conocer y comprender la arquitectura de un controlador digital


Identificar los elementos fundamentales de un controlador digital
Conocer, comprender, adquirir habilidades y destreza en el manejo de los componentes de
la herramienta de simulacin MATLAB
Adquirir habilidades y destreza en el manejo de los componentes electrnicos utilizados en
este proyecto
Dejar claro los conceptos vistos en clase referentes a un sistema bsico de control digital
6.

MARCO DE REFERENCIA (mximo 20 lneas)

Abordaje breve de los principales aspectos tericos que respaldan aproximacin al referente la investigacin
(Conceptos, leyes, principios, fundamentos Y estado del arte)

FUNDAMENTOS BSICOS DEL CONTROL DIGITAL


Arquitectura :

Figura 1. Sistema control digital


Los componentes principales de un sistema de control son :
Sensores
Actuadores
Controlador

Este documento es uno de los mecanismos que utiliza el programa de ingeniera electrnica para llevar un registro de los avances y/o
resultados de los proyectos que desarrollan sus estudiantes; del mismo modo, pretende oficializar las acciones que se llevan a cabo en
torno a la formacin de competencias investigativas en los estudiantes, desde los diferentes cursos que conforman el plan de estudios.
Pgina 3 de 15

FORMATO PARA REGISTRO DE PROYECTOS DE AULA, DE


GRADO Y DE SEMILLEROS DE INVESTIGACIN:
PROPUESTA LEA

INFORMACIN DEL PROYECTO

Los controladores digitales son implementados con microprocesadores, microcontroladores, DSP,


FPGA, CPLD, etc. Necesitan conversores ADC Y DCA.

Figura 2. Seales en un sistema de control digital


El termino control digital directo se utiliza cuando la computadora est en lazo de realimentacin o
en lazos de sistemas de control

Figura 3. Control digital directo


Este documento es uno de los mecanismos que utiliza el programa de ingeniera electrnica para llevar un registro de los avances y/o
resultados de los proyectos que desarrollan sus estudiantes; del mismo modo, pretende oficializar las acciones que se llevan a cabo en
torno a la formacin de competencias investigativas en los estudiantes, desde los diferentes cursos que conforman el plan de estudios.
Pgina 4 de 15

FORMATO PARA REGISTRO DE PROYECTOS DE AULA, DE


GRADO Y DE SEMILLEROS DE INVESTIGACIN:
PROPUESTA LEA

INFORMACIN DEL PROYECTO


CONTROLADORES ANALOGICOS VS DIGITALES

Figura 4. Ventajas y desventajas


El control mediante un computador digital requiere de elementos adicionales en el sistema de
control que modifican parcialmente el lazo de control y requieren de conocimientos adicionales
tradicionalmente ligados al tratamiento de seales.
Algunos de estos conocimientos adicionales son:

Lazo de control de sistemas digitales (discretizados, numricos, digitales)


Convertidores anlogo digital CAD y digital anlogo CDA
Sistemas discretos
Ecuaciones de diferencias
La transformada en Z
La retroalimentacin de estado digital
Estabilidad de los sistemas discretos
El rendimiento de los sistemas discretos

MUESTREO Y RETENCIN

Figura 5. Muestreo y retencin


Este documento es uno de los mecanismos que utiliza el programa de ingeniera electrnica para llevar un registro de los avances y/o
resultados de los proyectos que desarrollan sus estudiantes; del mismo modo, pretende oficializar las acciones que se llevan a cabo en
torno a la formacin de competencias investigativas en los estudiantes, desde los diferentes cursos que conforman el plan de estudios.
Pgina 5 de 15

FORMATO PARA REGISTRO DE PROYECTOS DE AULA, DE


GRADO Y DE SEMILLEROS DE INVESTIGACIN:
PROPUESTA LEA

INFORMACIN DEL PROYECTO

La funcin es capturar la seal analgica y mantenerla durante un cierto tiempo para su conversin
a seal digital (ADC).
Las razones es que al digitalizar la seal es necesario tener una seal estable durante un tiempo de
conversin.

Figura 6. Circuito muestreador retenedor bsico

Figura 7. Funcionamiento bsico muestreador - retenedor


Este documento es uno de los mecanismos que utiliza el programa de ingeniera electrnica para llevar un registro de los avances y/o
resultados de los proyectos que desarrollan sus estudiantes; del mismo modo, pretende oficializar las acciones que se llevan a cabo en
torno a la formacin de competencias investigativas en los estudiantes, desde los diferentes cursos que conforman el plan de estudios.
Pgina 6 de 15

FORMATO PARA REGISTRO DE PROYECTOS DE AULA, DE


GRADO Y DE SEMILLEROS DE INVESTIGACIN:
PROPUESTA LEA

INFORMACIN DEL PROYECTO

CONVERTIDORES AD DA

Figura 8. Convertidor AD

Figura 9. Convertidor DA
7.

METODOLOGIA. (mximo 15 lneas)

Presentacin del tipo de investigacin, diseo de investigacin, Poblacin-muestra, Tcnicas de recoleccin de


datos.

Como se planteo a principios del semestre por los docentes encargados este proyecto de la
asignatura de control esta enlazado con el proyecto de la clase microcontroladores, para esta
primera fase del proyecto, revisando las directivas propuestas por el profesor se deba realizar la
construccin de una planta emulada en base a la funcin de trasferencia asignada a cada grupo de
trabajo, para mi caso en el cual yo me encuentro solamente cursando el curso de controles ll y no el
curso de microcontroladores, se defini en una reunin con los dos profesores encargados de este
tema, que se me entregara una planta emulada ya construida la cual yo mediante un proceso
inverso a la construccin, analizando la respuesta de esta plata ante una entrada tipo escaln, y con
Este documento es uno de los mecanismos que utiliza el programa de ingeniera electrnica para llevar un registro de los avances y/o
resultados de los proyectos que desarrollan sus estudiantes; del mismo modo, pretende oficializar las acciones que se llevan a cabo en
torno a la formacin de competencias investigativas en los estudiantes, desde los diferentes cursos que conforman el plan de estudios.
Pgina 7 de 15

FORMATO PARA REGISTRO DE PROYECTOS DE AULA, DE


GRADO Y DE SEMILLEROS DE INVESTIGACIN:
PROPUESTA LEA

INFORMACIN DEL PROYECTO

todos los conceptos adquiridos determinara y hallara la funcin de transferencia de esta sistema
para as poder llevar a cabo y seguir con lo propuesto en la clase de control que es la construccin
de un controlador digital para dicha planta emulada.
Despus de realizar estos lineamientos propuestos entre los profesores y yo, se obtienen unos
resultados del avance del proyecto que se mostraran en el siguiente punto.
8. RESULTADOS DEL PROYECTO.
Descripcin de los datos recolectados; su presentacin deber ser en forma narrativa, sin adicionar tablas ni
grficos. En el caso de Investigacin en curso indique resultados parciales, adelantos y la posicin frente al
cronograma propuesto en el Anteproyecto. Si se trata de Investigacin terminada indique resultados finales.

La planta emula con amplificadores operacionales entregada por el profesor de microcontroladores


para analizar su respuesta y hallar la funcin de trasferencia fue la siguiente:

Figura 10. Planta emulada en plaqueta


Como podemos observar en la imagen de la figura 10 la planta ya se encuentra montada en
plaqueta y funcionando, se puede ver que posee unos puntos de conexin o bornera donde se
especifica lo siguiente :

Entrada
Salida
Voltaje de alimentacin positivo +12v
Voltaje de alimentacin negativo -12v
Tierra

Este documento es uno de los mecanismos que utiliza el programa de ingeniera electrnica para llevar un registro de los avances y/o
resultados de los proyectos que desarrollan sus estudiantes; del mismo modo, pretende oficializar las acciones que se llevan a cabo en
torno a la formacin de competencias investigativas en los estudiantes, desde los diferentes cursos que conforman el plan de estudios.
Pgina 8 de 15

FORMATO PARA REGISTRO DE PROYECTOS DE AULA, DE


GRADO Y DE SEMILLEROS DE INVESTIGACIN:
PROPUESTA LEA

INFORMACIN DEL PROYECTO

Despus de identificar la entrada, salida y voltaje de operacin de la planta realizamos las


conexiones para comenzar a operar el circuito y hacer el anlisis en el cual utilizamos un
osciloscopio digital, una fuente dual para la alimentacin del circuito y una fuente sencilla para la
entrada tipo escaln la cual aplicaremos a la planta.

Figura 11. Conexin para comenzar a operar

Figura 12. Alimentacin del circuito


En la figura 12 podemos ver la fuente dual con la cual alimentaremos nuestro circuito con un voltaje
de + 12v y 12v.
Este documento es uno de los mecanismos que utiliza el programa de ingeniera electrnica para llevar un registro de los avances y/o
resultados de los proyectos que desarrollan sus estudiantes; del mismo modo, pretende oficializar las acciones que se llevan a cabo en
torno a la formacin de competencias investigativas en los estudiantes, desde los diferentes cursos que conforman el plan de estudios.
Pgina 9 de 15

FORMATO PARA REGISTRO DE PROYECTOS DE AULA, DE


GRADO Y DE SEMILLEROS DE INVESTIGACIN:
PROPUESTA LEA

INFORMACIN DEL PROYECTO

Figura 13. Entrada tipo escaln de 1v


En la figura 13 podemos observar la entrada tipo escaln que aplicaremos a nuestro circuito el cual
es de 1v y con el cual analizaremos nuestra planta.

Figura 14. Salida del circuito


En la figura 14 ya tenemos la salida del circuito sea como responde la planta ante la entrada
escaln de 1v que es la referencia que le aplicamos.
Este documento es uno de los mecanismos que utiliza el programa de ingeniera electrnica para llevar un registro de los avances y/o
resultados de los proyectos que desarrollan sus estudiantes; del mismo modo, pretende oficializar las acciones que se llevan a cabo en
torno a la formacin de competencias investigativas en los estudiantes, desde los diferentes cursos que conforman el plan de estudios.
Pgina 10 de 15

FORMATO PARA REGISTRO DE PROYECTOS DE AULA, DE


GRADO Y DE SEMILLEROS DE INVESTIGACIN:
PROPUESTA LEA

INFORMACIN DEL PROYECTO

Figura 15. Mximo sobrepaso


Vemos que la escala de volt/div del osciloscopio la tenemos en 0.5v y la escala de tiempo/div se
encuentra en 2.5 segundos, en la imagen se puede observar tambin que desplazamos la seal dos
posiciones hacia abajo para poder realizar mejor el anlisis, luego usando los cursores del
osciloscopio digital podemos ver cul es el mximo sobrepaso de la seal el cual nos da un valor de
136 mv o 1,36 v como lo indica el cuadro de la parte inferior izquierda de la imagen que es el de la
diferencia de los cursores verticales.

Figura 16. Tiempo de establecimiento


Este documento es uno de los mecanismos que utiliza el programa de ingeniera electrnica para llevar un registro de los avances y/o
resultados de los proyectos que desarrollan sus estudiantes; del mismo modo, pretende oficializar las acciones que se llevan a cabo en
torno a la formacin de competencias investigativas en los estudiantes, desde los diferentes cursos que conforman el plan de estudios.
Pgina 11 de 15

FORMATO PARA REGISTRO DE PROYECTOS DE AULA, DE


GRADO Y DE SEMILLEROS DE INVESTIGACIN:
PROPUESTA LEA

INFORMACIN DEL PROYECTO

Como lo vemos en la figura 16 utilizando los cursores horizontales del osciloscopio digital podemos
obtener el tiempo de establecimiento el cual es de 22.3 segundos.

Figura 17. Tiempo pico


La figura 17 nos arroja el tiempo pico que como vemos en la figura utilizando los cursores
horizontales del osciloscopio nos da un valor de 2.5 segundos.

Figura 18. Tiempo de subida


El tiempo de subida o Tr tambin realizando el mismo procedimiento de la imagen anterior nos da
un valor de 1.6 segundos.
Este documento es uno de los mecanismos que utiliza el programa de ingeniera electrnica para llevar un registro de los avances y/o
resultados de los proyectos que desarrollan sus estudiantes; del mismo modo, pretende oficializar las acciones que se llevan a cabo en
torno a la formacin de competencias investigativas en los estudiantes, desde los diferentes cursos que conforman el plan de estudios.
Pgina 12 de 15

FORMATO PARA REGISTRO DE PROYECTOS DE AULA, DE


GRADO Y DE SEMILLEROS DE INVESTIGACIN:
PROPUESTA LEA

INFORMACIN DEL PROYECTO

Al realizar el anlisis de la respuesta de la planta, conociendo que es una planta de 2 orden y al


obtener estos valores de:
MP = 136mv 1.36v
Ts = 22.3s
Tp = 2.5s
Tr = 1.6s
Se puede hacer un uso de los conocimientos adquiridos en el curso de control l y hallar la funcin de
trasferencia con las ecuaciones, modelado y anlisis para la respuesta ante un escaln de sistemas
de 2 orden.
Con solo el MP y el Ts podemos realizar los siguientes clculos:

= 0.36 = 36 %

MP =

Ts =

Ts = 22.3s

= 0.1793

wd =

De MP y conociendo

MP =

= 0.5512

Wn =
Wn =
Wn =
Wn= 0,5794
Entonces
= 0.1793
wd = 0.5512
= 0.1793

= 0.3094

Este documento es uno de los mecanismos que utiliza el programa de ingeniera electrnica para llevar un registro de los avances y/o
resultados de los proyectos que desarrollan sus estudiantes; del mismo modo, pretende oficializar las acciones que se llevan a cabo en
torno a la formacin de competencias investigativas en los estudiantes, desde los diferentes cursos que conforman el plan de estudios.
Pgina 13 de 15

FORMATO PARA REGISTRO DE PROYECTOS DE AULA, DE


GRADO Y DE SEMILLEROS DE INVESTIGACIN:
PROPUESTA LEA

INFORMACIN DEL PROYECTO

como sabemos que :


G(s)=

Es la forma estndar de sistemas de 2 orden entonces nuestra funcin de transferencia hallada nos
queda de la siguiente manera:
G(s)=
Con esta funcin de trasferencia obtenida es que empezamos a realizar nuestro controlador digital
9. CONCLUSIONES.
Descripcin precisa de los aspectos ms relevantes obtenidos en la investigacin.
10. RECOMENDACIONES.
Descripcin y comentarios de aporte personal al grupo del proyecto y/o del programa de Ingeniera
Electrnica.
11. BIBLIOGRAFIA.
Presentacin de las fuentes bibliogrficas que sirvieron de apoyo para la construccin y desarrollo de
la investigacin.

http://rua.ua.es/dspace/bitstream/10045/11737/1/TranspT1.pdf
www.uv.es/ramirez/Docencia/IE/S_H.pdf.
http://www.utm.mx/~jvasquez/digital1.pdf
Departamento de Control. Divisin de Ingeniera Elctrica. Facultad de Ingeniera UNAM
Sistemas de 2 orden. PPT
Unidad 1-Teoria de control digital. Controles ll. Jos Fernando valencia M. Universidad de
san buenaventura Cali. PPT

Kuo, Benjamn C. Sistemas de control digital. Compaa Editorial Continental, 1997

Este documento es uno de los mecanismos que utiliza el programa de ingeniera electrnica para llevar un registro de los avances y/o
resultados de los proyectos que desarrollan sus estudiantes; del mismo modo, pretende oficializar las acciones que se llevan a cabo en
torno a la formacin de competencias investigativas en los estudiantes, desde los diferentes cursos que conforman el plan de estudios.
Pgina 14 de 15

FORMATO PARA REGISTRO DE PROYECTOS DE AULA, DE


GRADO Y DE SEMILLEROS DE INVESTIGACIN:
PROPUESTA LEA

Este documento es uno de los mecanismos que utiliza el programa de ingeniera electrnica para llevar un registro de los avances y/o
resultados de los proyectos que desarrollan sus estudiantes; del mismo modo, pretende oficializar las acciones que se llevan a cabo en
torno a la formacin de competencias investigativas en los estudiantes, desde los diferentes cursos que conforman el plan de estudios.
Pgina 15 de 15

You might also like