You are on page 1of 68

ARG/2012/Fall/Winter-FC/IFC/IBC/BC_ResourceGuide2112-Fall-Winter 9/18/12 9:38 AM Page 1

Fall/Winter 2012

Microcontrollers Microprocessors Development Tools

ARG/2012/Fall/Winter-FC/IFC/IBC/BC_ResourceGuide2112-Fall-Winter 9/18/12 9:38 AM Page 2

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:56 PM Page 1

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:56 PM Page 2

RESOURCE GUIDE FOR ARM ARCHITECTURE DESIGN

TABLE OF CONTENTS

MICROCONTROLLERS AND MICROPROCESSORS

Page
ARM Cortex-A5
Freescale Vybrid Controller Solutions

Page
ARM Cortex-M0 and Cortex-M0+ Microcontrollers
Freescale Kinetis L Series MCUs
4-5
NXP LPC11U00 ARM Cortex-M0 MCUs
6
NXP LPC1100(X)L ARM Cortex-M0 MCUs
7
NXP LPC1200 ARM Cortex-M0 MCUs
8
Nuvoton NUMICRO NUC100 ARM Cortex-M0 MCUs
9
STMicroelectronics STM32 F0 Series Entry-Level ARM Cortex-M0 MCUs 10

ARM Cortex-A8 and Cortex-A9 Processors


Altera Dual-Core ARM Cortex-A9 MPCore Processor
Freescale i.MX53 Applications Processors
Freescale i.MX6 Applications Processors
Texas Instruments Sitara AM335X ARM Cortex-A8 MPUs
Texas Instruments Sitara AM35X ARM Cortex-A8 MPUs
Texas Instruments AM37X ARM Cortex-A8 MPUs

ARM Cortex-M3 and Cortex-M4 Microcontrollers


Atmel SAM3N AND SAM3U ARM Cortex-M3 MCUs
11
Atmel SAM3S ARM Cortex-M3 MCUs
12
Atmel SAM3X ARM Cortex-M3 MCUs
13
Atmel SAM3A ARM Cortex-M3 MCUs
14
Atmel SAM4S ARM Cortex-M4 MCUs
15
Cypress PSOC 5 Platform
16
Freescale Kinetis Low Power, Mixed Signal ARM Cortex-M4 MCUs
17-20
Infineon XMC4000 ARM Cortex-M4 MCUs
21
Microsemi SmartFusion Intelligent Mixed Signal FPGAS
22
NXP LPC4300 ARM Cortex-M4 MCUs
23
NXP LPC1300 ARM Cortex-M3 MCUs
24
NXP LPC1700 ARM Cortex-M3 MCUs
25
NXP LPC1800 ARM Cortex-M3 MCUs
26
Silicon Labs SIM3C1XX AND SIM3U1XX ARM Cortex-M3 MCUs
27
Silicon Labs SIM3L1XX ARM Cortex-M3 Ultra-Low-Power MCUs
28
STMicroelectronics STM32 L1 ARM Cortex-M3 Ultra-Low-Power MCUs 29
STMicroelectronics STM32 F1 ARM Cortex-M3 MCU Series
30
STMicroelectronics STM32 F2 ARM Cortex-M3 MCU Series
31
STMicroelectronics STM32W ARM Cortex-M3 Wireless MCUs
32
STMicroelectronics STM32 F3 ARM Cortex-M4 Mixed-Signal MCUs with DSP 33
STMicroelectronics STM32 F4 ARM Cortex-M4 MCUs with DSP
34
Texas Instruments TMS470M ARM Cortex-M3 MCUs
35
Texas Instruments Stellaris ARM Cortex-M3S MCUs
36
Toshiba TX03 Microcontroller with ARM Cortex-M3 Processor Cores
37
ARM Cortex-R4 Microcontrollers
Texas Instruments TMS570LS ARM Cortex-R4F Series MCUs
Texas Instruments Hercules RM4 ARM Cortex-R4F Series

42-43

ARM9 Microprocessors
Atmel SAM9G, SAM9X AND SAM9M ARM926EJ-S MPUs
Freescale i.MX28 Applications Processors

44
45
46
47
48
49

50-51
52

DEVELOPMENT TOOLS
Aaeon GENE-1350 OMAP 3503/3530 CPU Board
Aeneo ARM Board Support Products
Advantech ARM Cortex-A8, Cortex-A9 and Cortex-A15
Computing Platforms
Altia GUI Development and Code Generation Tools For Embedded Displays
BCM ARM Cortex-A8 Platform for Freescale i.MX MCUs
Boundry Devices Nitrogen6X-SOM,
Freescale i.MX6 Single/Dual/Quad-Core CPU
Digi ConnectCore i.MX53 ARM Cortex-A8 System-on-Modules
EmCraft Systems Kinetis K70 System-on-Module (SOM)
IAR Systems Embedded Workbench for ARM
Keil ARM Microcontroller Development Kit (MDK)
Kontron PICO-ITX Embedded Motherboard
LOGIC PD DM3730/AM3703 Torpedo + Wireless SOM
Micrium Real-Time Operating Systems to Meet the Most Rigorous Standards
Microsoft Windows Embedded Compact 7 (Formerly CE)
Motomic QT Development Environment for Freescales Kinetis MCUs
NEXCOM PDSB 102 ARM Cortex-A8 Entry-Level Digital Signage Player
NovTech INC NOVPEK i.MX6Q/D System
QNX Real-Time Operating Systems for ARM Core-Based MCUs
Timesys LinuxLink for ARM Core-Based Solutions

40
41

54
54
55
55
56
56
57
57
58
59
59
60
60
61
61
62
62
63
63

This Resource Guide for ARM Architecture Design is published for Arrow by ConVergence Promotions LLC, and the RTC Group (The Publishers). The Publishers make
no warranty for the use of its products and assumes no responsibility for any errors which may appear in this document, nor does it make a commitment to update the
information contained in this printed publication.
The Resource Guide for ARM Architecture Design is Copyright 2012 The Publishers. No information contained in this Resource Guide and web sites may be reproduced
in part or in whole without express permission, in writing, from The Publishers. The Publishers make no warranty as to the content or reliability of the third-party tool
vendors information contained within. All product names, descriptions, specifications, prices and other information are subject to change without notice. The Publishers
take no responsibility for false or misleading information, errors or omissions. All registered trademarks and trademarks included in this book are held by their respective companies. Every attempt was made to include all trademarks and registered trademarks where indicated by their companies.

Cover Image credit


iStockphoto.com/agsandrew

Trademark Information
All brand names or product names are the property of their respective holders. Neither the whole nor any part of the information contained in, or the product described
in, this document may be adapted or reproduced in any material form except with the prior written permission of the copyright holder. The product described in this
document is subject to continuous developments and improvements. All warranties implied or expressed, including but not limited to implied warranties of satisfactory
quality or fitness for purpose are excluded. This document is intended only to provide information to the reader about the product. To the extent permitted by local laws
The Publishers shall not be liable for any loss or damage arising from the use of any information in this document or any error or omission in such information.
Printed in USA.

www.arrownac.com

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:56 PM Page 3

Microcontrollers and
Microprocessors
www.arrownac.com

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 4

FREESCALE

ARM Cortex-M0 and Cortex-M0+ Microcontrollers

KINETIS L SERIES MCUs


The New Freescale Freedom Development Platform
The new Freescale development platform is a small ultra-lowpower, ultra-low-cost evaluation and development system for quick
application prototyping and demonstration.

Description:
Kinetis L series MCUs combine the exceptional energy-efficiency
and ease-of-use of the new ARM Cortex-M0+ processor with the
performance, peripheral sets, enablement and scalability of the
Kinetis 32-bit MCU portfolio.

It combines an industry-standard form factor with a rich set of


third-party expansion board options. An integrated USB debug
interface offers an easy-to-use mass-storage device mode flash
programmer, a virtual serial port and classic programming and runcontrol capabilities.

The Kinetis L series frees power-critical designs from 8- and 16-bit


MCU limitations by combining excellent dynamic and stop currents
with superior processing performance, a broad selection of on-chip
flash memory densities and extensive analog, connectivity and HMI
peripheral options.

Freedom board part #: FRDM-KL25Z


Price: $12.95

Kinetis L series MCUs are also hardware and software compatible


with the ARM Cortex-M4 processor-based Kinetis K series, providing a scalable migration path to more performance, memory and
feature integration.
The Kinetis L Series MCUs are Energy-Efficient Product Solutions by
Freescale.

www.arrownac.com

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 5

FREESCALE

ARM Cortex-M0 and Cortex-M0+ Microcontrollers

KINETIS L SERIES MCUs

www.arrownac.com

(CONTINUED)

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 6

NXP

ARM Cortex-M0 and Cortex-M0+ Microcontrollers

LPC11U00 ARM CORTEX-M0


MICROCONTROLLERS
Description:
Low Cost ARM Cortex-M0 USB Solutions with Smart Card
Interface
The LPC11U00 series provides a compelling replacement for 8- and
16-bit USB microcontrollers, delivering robust USB performance at a
low price point. The highly flexible USB architecture is quite simply
a better approach to USB. NXP offers the widest range of ARM
processor-based USB solutions, as well as easy-to-use software and
integrated development platforms that make NXP a one-stop shop
for USB.

Designed for Small Form Factor Mobile and Consumer


Applications
As an extension of NXP's proven LPC1100 family, the LPC11U00
series delivers up to 32 kB Flash, 6 kB SRAM, a variety of serial interfaces, a smart card interface, 4 system timers with PWM functionality, 8 channel 10-bit ADC and up to 40 GPIOs. The LPC11U00 series
is offered in a miniature 4.5 x 4.5 mm TFBGA48 package, making it
especially suited for small form factor mobile and consumer applications in addition to several standard package offerings.

Maximizing Connectivity While Minimizing Power


Connectivity options on the LPC11U00 series include two
Synchronous Serial Port (SSP) interfaces, IC with Fast-mode Plus
feature with 10x higher bus-drive capability, a Universal
Synchronous-Asynchronous Receiver/Transmitter (USART) and a
Smart Card interface. The Smart Card interface (ISO7816-3) provides a plug-and-play interface for Smart Cards, making the
LPC11U00 a good fit for e-commerce applications. The LPC11U00
series also offers on-chip power profiles, driven by an Application
Programming Interface (API), which can be customized for any lowpower application so designers can achieve ideal power levels with
minimal application intervention.

www.arrownac.com

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 7

NXP

ARM Cortex-M0 and Cortex-M0+ Microcontrollers

NXP LPC1100(X)L ARM CORTEX-M0


PROCESSOR-BASED MICROCONTROLLERS
Description:
With the industrys lowest active power consumption at 110
A/MHz and reduced deep sleep current below 2 A, the
LPC1100XL has set a new benchmark for low-power ARM
Cortex-M0 microcontrollers

The LPC1100XL incorporates NXPs proprietary embedded flash


which extends solutions to 64 kB while incorporating 256 bytes of
page erase. The 256 byte page-erase feature significantly improves
the efficiency and ease of use of the on-chip flash, allowing for
seamless field updates without having to erase a large sector to
write the next page.

The LPC1100(X)L (LPC1111/2/3/4/5) is an ARM Cortex-M0


processor-based low-cost 32-bit MCU series designed for 8/16-bit
microcontroller applications, offering performance, low power, simple instruction set and memory addressing together with reduced
code size compared to existing 8/16-bit architectures.
With performance capability up to 50 MHz the LPC1100XL microcontroller delivers the industrys lowest 32-bit active power consumption for ARM Cortex-M0 microcontrollers at 110 A/MHz
and reduces deep sleep current below 2 A. Also on-chip power profiles can be customized for any low-power application allowing
designers to reach ideal power levels with minimal application intervention. The power profiles serve as an excellent alternative to nonconfigurable low power modes, as they can conduct dynamic power
management and optimize CPU operation for various application
states.

www.arrownac.com

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 8

NXP

ARM Cortex-M0 and Cortex-M0+ Microcontrollers

NXP LPC1200 ARM CORTEX-M0


MICROCONTROLLERS
Key features and benefits
A Windowed Watchdog Timer with an independent internal
oscillator source, designed to comply with IEC 60730 Class B
safety requirements for white goods.
A Programmable Digital Filter on all GPIO pins allowing better
control of signal integrity for industrial applications
IC with Fast-mode Plus feature with 10x higher bus-drive
capability compared to typical IC I/O drives, allowing for twice
as many devices on the same bus, as well as longer transmission
distances
Optimized ROM-based divide library for ARM Cortex-M0
offering several times the arithmetic performance of softwarebased libraries, as well as a highly deterministic cycle time
combined with reduced Flash code size
Dual analog comparators with 32 levels of voltage reference, edge
and level detection and output feedback loop supporting multiple
states, such as monostable, astable or simple set/reset.

Description:
ARM Cortex-M0 with up to 128 kB flash, 8 kB SRAM, RTC,
comparator, 10-bit ADC, CRC, DMA and more
The LPC1200 Industrial Control Series, featuring the ARM
Cortex-M0 processor, extends NXPs 32-bit ARM microcontroller
continuum and targets a wide range of applications, such as white
goods, motor control, power conversion and power supplies.
It also expands NXPs ARM Cortex-M0 processor-based microcontroller offering with a wide range of Flash memory sizes, ranging
from 32 kB to 128 kB. The small 512 Byte page erase of the Flash
memory brings multiple design benefits, such as finer EEPROM
emulation, boot-load supports from any serial interface, and ease of
in-field programming with reduced on-chip RAM buffer requirements. The LPC1200 comes with a set of peripherals that are specifically suited for appliances and industrial design.
The LPC1200 series has a score of over 45 in CoreMark CPU performance benchmark testing, equivalent to 1.51 MHz. Taking advantage of the ARM Cortex-M0 v6-M 16-bit Thumb instruction set,
the LPC1200 has up to 50 percent higher code density compared to
common 8/16-bit microcontrollers performing typical tasks. The
ARM Cortex-M0 efficiency also helps the LPC1200 achieve lower
average power for similar applications.
In addition, the LPC1200 comes with an 8-channel 10-bit ADC, multiple timers/serial channels, and up to 55 GPIOs (available in both
LQFP48 and LQFP64 packages), as well as new onboard peripherals
including RTC, DMA, CRC and 1 percent internal oscillator, which
provides the required accuracy for baud rate generation. The
LPC1200 is rated as high immunity, based on the Electrical Fast
Transient (EFT) test per IEC61697-1 recommendations. Electrostatic
Discharge (ESD) protection is rated at 8 kV.

www.arrownac.com

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 9

NUVOTON

ARM Cortex-M0 and Cortex-M0+ Microcontrollers

NUMICRO M051 AND NUC100 ARM


CORTEX-M0 SERIES MICROCONTROLLERS
Description:
NuMicro NUC100 Series
NuMicro is Nuvoton's brand-new 32-bit microcontroller family
powered by the ARM Cortex-M0 processor - the smallest, lowest
power and most energy-efficient ARM processor optimized for a
variety of MCU applications.

Description:
NuMicro M051 Series
The NuMicro M051 series is a 32-bit microcontroller with embedded ARM Cortex-M0 core for industrial control and applications
which need rich communication interfaces. The Cortex-M0 is the
newest ARM embedded processor with 32-bit performance and at a
cost equivalent to traditional 8-bit microcontroller. The NuMicro
M051 series includes the M052, M054, M058 and M0516 families.

NuMicro NUC100 series embeds the ARM Cortex-M0 core


with the speed up to 50MHz, 32K/64K/128K-byte Flash program
memory, 4K/8K/16K-byte SRAM, and 4K-byte _ash loader memory
for In-System Programming (ISP). It also comes equipped with a variety of peripherals, such as GPIOs, Timers, Watchdog Timer, RTC,
PDMA, UART, SPI/MICROWIRE, I2C, I2S, PWM, LIN, CAN, PS2, USB 2.0
FS Device, 12-bit ADC, Analog Comparator, Low Voltage Reset and
Brown-out Detector.

The M052/M054 can run up to 50 MHz. Thus it can afford to support


a variety of industrial control and applications which need high CPU
performance. The M052/M054 has 8K/16K-byte embedded flash,
4K-byte data flash, 4K-byte flash for the ISP, and 4K-byte embedded
SRAM. Many system level peripheral functions, such as I/O Port, EBI
(External Bus Interface), Timer, UART, SPI, I2C, PWM, ADC, Watchdog
Timer and Brownout Detector, have been incorporated into the
M052/M054 in order to reduce component count, board space and
system cost. These useful functions make the M052/M054 powerful
for a wide range of applications.

Nuvotons NuMicro family ready for mass-production now


includes NUC100 series, NUC120/122 series with USB Full Speed
2.0 device, NUC130/140 series embedded with Controller Area
Network (CAN) 2.0B licensed from BOSCH, M051 series, Mini51
series, and Nano, the Ultra-Low-Power series targeting battery powered applications. With a variety of product offerings, the NuMicro
family is ideal for use in industrial control systems, industrial
automation, consumer products, embedded network control, energy,
power systems, motor control, and many more. With the integration
of the industry leading ARM Cortex-M0 microprocessor,
NuMicro family brings 32-bit performance at a cost equivalent to
traditional 8-bit microcontrollers.

Additionally, the M052/M054 is equipped with ISP (In-System


Programming) and ICP (In-Circuit Programming) functions, which
allow the user to update the program memory without removing the
chip from the actual end product.

Key Features:
Operates at 2.5V ~ 5.5V and -40C ~ +85C with separate Program
Flash (32KB to 128KB), Data Flash* (4KB) and ISP loader (4KB) and
PDMA ( * : except 128KB version )

www.arrownac.com

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 10

STMICROELECTRONICS

ARM Cortex-M0 and Cortex-M0+ Microcontrollers

STM32 F0 SERIES ENTRY-LEVEL


ARM CORTEX-M0 MCU
Description:
The ARM Cortex-M0 processor-based STM32 F0 series delivers
32-bit performance and the essential DNA of the STM32. The STM32
F0 benefits from the combination of real-time performance, lowpower operation, advanced architecture and peripherals associated
to the STM32 ecosystem.
The new series bridges the gap with applications using 8- and 16bit microcontrollers, enabling advanced high-end features in economical end products. The STM32 F0 series offers unparalleled
flexibility and scalability for home-entertainment products, appliances, and industrial equipment.
STM32 F0 devices are available in UFQFPN32, LQFP48 and LQFP64
packages.
Development Tools
STs STM32 family of 32-bit ARM Cortex-M core-based microcontrollers are supported by a complete range of low cost and high
end, evaluation software, debugging and programming tools.

STM32 F1 series (order code: STM32VLDISCOVERY): Based on the


STM32 F1 series Value line, the STM32 Value line Discovery kit will
satisfy hobbyists, first-time developers and students.

This complete line includes third party solutions that come complete
with C/C++ compiler, integrated development environment and incircuit debugger/programmer featuring a JTAG/SWD application
interface. Developers can also explore and start applications easily
with any of a range of affordable, easy to use starter kits.

STM32 F3 series (order code: STM32F3DISCOVERY): The STM32F3Discovery is the perfect kit to discover not only the richness of the
STM32 F3 series, but also STs MEMS gyroscope and e-compass.

The superb combination of a state of the art and efficient library of


software drivers and extensive support for all major tool providers
offers a fast route to best fit and an optimized development process.

STM32 F4 series (order code: STM32F4DISCOVERY): To discover


the STM32 F4 series, the STM32F4-Discovery highlights the performances of the F4 series with audio (input, output) and USB Host
capabilities.

STM32 F0 series (order code: STM32F0DISCOVERY): Discover the


STM32 F0 series based on the ARM Cortex-M0 core. A prototyping
board is included for easy connection of additional components
and modules.

STM32 L1 series (order code: STM32L-DISCOVERY): Based on the


STM32 L1 series, the STM32L-Discovery kit includes a 6-digit LCD
display, a touch-sensing slider, two LEDs, a user button and current
measurement.

www.arrownac.com

10

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 11

ATMEL

ARM Cortex-M3 and Cortex-M4 Microcontrollers

ATMEL SAM3N AND SAM3U


ARM CORTEX-M3 MICROCONTROLLERS
Description:
SAM3N
The Atmel entry point into ARM Cortex-M3 technology, the
SAM3N intelligently combines high-performance architecture,
peripherals and powersaving techniques to deliver uncompromised
performance. Available in multiple memory densities, pin counts and
package types, the SAM3N offers a scalable solution to meet application requirements. It is supported by a full set of software libraries,
project examples, tools and a worldwide ecosystem to shorten
development cycle time. Pin-to-pin compatible with the SAM7S and
the SAM3S, the SAM3N facilitates migration within the family.

Description:
SAM3U
The Atmel SAM3U is the industrys first ARM Cortex-M3 Flash
microcontroller with on-chip high speed USB Device-and-Transceiver,
SDIO/SDCard/MMC and SPI interfaces. This connectivity, together with
the SAM3Us 96 MHz/1.25 DMIPS/MHz operating frequency, makes the
SAM3U the unique ARM Cortex-M3 device suited to applications
with intensive communications requirements, such high speed gateways in industrial, medical, data processing and consumer applications. For rapid evaluation and code development, industry-leading
third parties provide a full range of dev tools, RTOS, middleware and
support services to reduce time-to-market to a minimum.

Key Features
Atmel QTouch Capacitive Touch Support The SAM3N series
is touch-ready, offering native support for Atmel QTouch
technology for easy implementation of buttons, sliders and
wheels in your application.
Data Speedway Native 3-layer bus AHB matrix support with
10 peripheral DMA channels and distributed memory for highspeed uninterrupted data flows with minimum processor
overhead.
Simplified PCB Design and Low System Cost Integrated
serial resistors eliminate the need for external resistors to pre
serve signal integrity, resulting in reduced BOM cost, real estate
savings and simplified PCB design.
1.62 to 3.6V Operation and Low Power True 1.8V10%
operation extends device operation when running from two AA
alkaline batteries. The SAM3N only consumes 22 mA at 48 MHz
operation and 1.9 A in backup mode with the RTC running.

www.arrownac.com

Key Features
High-Speed USB The SAM3U is the first ARM Cortex-M3
microcontroller with high-speed USB and an integrated
transceiver for fast up/downloading of data, robust EMI tolerance,
and plug-and-play high-speed serial interconnectivity.
Atmel QTouch Capacitive Touch Support The SAM3U is
touch-ready, offering native support for Atmel QTouch technology
for easy implementation of buttons, sliders and wheels in your
application.
High Performance Features a 96 MHz maximum operating
frequency and a high data-bandwidth architecture based on a 5layer bus matrix with 22 DMA channels and distributed memory.
Dual-Bank Flash Provides safe in-application programming
(IAP) including the boot program
Memory Protection Unit Improves code protection and
secures multi-application/task execution.

11

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 12

ATMEL

ARM Cortex-M3 and Cortex-M4 Microcontrollers

ATMEL SAM3S ARM CORTEX-M3


MICROCONTROLLERS
Description:
The Atmel SAM3S ARM Cortex-M3 Flash MCU integrates features to simplify system design and reduce power consumption
down to 2.3 mW at 1 MHz, 1.45mW/MHz at 64 MHz operation and
1.6 A in backup mode with the RTC running. Inspired by the bestselling SAM7S series, the SAM3S is the ideal migration path to a
more powerful and feature-rich MCU. A renewed peripheral set
provides system control, sensor interfaces, an optional external
parallel bus interface, connectivity and user interface support.
Key Features
Atmel QTouch Capacitive Touch Support Integrated serial
resistors eliminate the need for external resistors to preserve
signal integrity, resulting in reduced BOM cost, real estate savings
and simplified system design.
Parallel Capture Mode The SAM3S is the first ARM MCU with
parallel data capture mode on PIOs and DMA support. The
parallel data capture mode on the PIOs complements the external
bus interface for data collection from external devices that are not
compliant with standard memory read protocols, such as low-cost
image sensors.
Atmel QTouch Capacitive Touch Support The SAM3S series
is touch-ready, offering native support for Atmel QTouch
technology for easy implementation of buttons, sliders and wheels
in your application.
Safety and Security Memory protection unit improves code
protection and secures multi-application/task execution. Unique
128-bit ID and scrambled external bus interface ensure software
confidentiality while the hardware CRC checks memory integrity.

www.arrownac.com

12

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 13

ATMEL

ARM Cortex-M3 and Cortex-M4 Microcontrollers

ATMEL SAM3X ARM CORTEX-M3


MICROCONTROLLERS
Description:
The Atmel SAM3X ARM Cortex-M3 Flash-based microcontroller
(MCU) brings more connectivity to the SAM3 family by adding
Ethernet, dual CAN and high-speed USB (HS USB) MiniHost and
device with on-chip physical layer (PHY). Devices feature a dualbank configuration of 256KB and 512KB Flash total and are available
in 100-pin and 144-pin QFP and BGA package options. The architecture is designed to support high-speed data transfers and includes
a multi-layer bus matrix plus dual SRAM banks, direct memory
access (DMA) channels and peripheral DMA controller (PDC) for
applications supporting multi-task operations. The SAM3X series is
ideal for networking applications in the industrial embedded market
and in home and building automation, smart grids and industrial
automation.
Key Features
Optimized for Connectivity With its architecture and
peripherals including Ethernet, dual CAN and HS USB MiniHost
and device with on-chip PHY, the SAM3X is optimized for
applications requiring high levels of connectivity.
Atmel QTouch Capacitive Touch Support The SAM3X is
touch-ready, offering native support for Atmel QTouch
technology for easy implementation of buttons, sliders and wheels
functionality in your applications.
Enhanced Safety and Security A variety of features
integrated into the SAM3X series provide safety and security for
your system. For example, dual-bank Flash enables safe
in-system firmware upgrades. On-the-fly external memory
scrambling on the 16-bit external bus interface enhances the
protection of your external memory content, without impacting
system performance. In addition, the SAM3X offers clock failure
detection and a true random number generator.
Low Power Consumption All SAM3 MCUs feature a
sophisticated, flexible power management scheme that minimizes
power consumption under all usage conditions. You can put the
devices in back-up mode with the core and peripherals powered
down, reducing power down to 2.5A for the SAM3X series. A
high-speed on-chip RC oscillator accelerates wake-up from
back-up mode, further reducing average power consumption.

www.arrownac.com

13

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 14

ATMEL

ARM Cortex-M3 and Cortex-M4 Microcontrollers

ATMEL SAM3A ARM CORTEX-M3


MICROCONTROLLERS
Description:
The Atmel SAM3A ARM Cortex-M3 Flash-based microcontroller
(MCU) features connectivity peripherals including a dual CAN interface
and high-speed USB (HS USB) MiniHost and device with on-chip physical layer (PHY). Devices are available in a dual-bank configuration of
256KB and 512KB Flash total and in 100-pin QFP and BGA package
options. The architecture is designed to support high-speed data
transfers and includes a multi-layer bus matrix plus dual SRAM banks,
direct memory access (DMA) channels and peripheral DMA controller
(PDC) for applications supporting multi-task operations. The SAM3A
series is ideal for networking applications in the industrial embedded
market and in home and building automation, smart grids and industrial automation.
Key Features
Connectivity With its architecture and peripherals including
dual CAN and HS USB MiniHost and device with on-chip PHY, the
SAM3A is optimized for applications requiring high levels of
connectivity.
Atmel QTouch Capacitive Touch Support The SAM3A is
touch-ready, offering native support for Atmel QTouch
technology for easy implementation of buttons, sliders and wheels
functionality in your applications.
Enhanced Safety and Security A variety of features
integrated into the SAM3A series provide safety and security for
your system. For example, dual-bank Flash enables safe
in-system firmware upgrades. In addition, the SAM3A offers clock
failure detection and a true random number generator.
Low Power Consumption All SAM3 MCUs feature a
sophisticated, flexible power management scheme that minimizes
power consumption under all usage conditions. You can put the
devices in back-up mode with the core and peripherals powered
down, reducing power down to 2.5A for the SAM3A series. A
high-speed on-chip RC oscillator accelerates wake-up from backup mode, further reducing average power consumption.

www.arrownac.com

14

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 15

ATMEL

ARM Cortex-M3 and Cortex-M4 Microcontrollers

ATMEL SAM4S ARM CORTEX-M4


MICROCONTROLLERS
Description:
Based on the powerful ARM Cortex-M4 processor, the Atmel
SAM4S series extends the Atmel Cortex-M portfolio to offer:
Increased performance and power efficiency
Higher memory densities: up to 2MB of Flash and 160KB of SRAM
And a rich peripheral set for connectivity, system control and
analog interfacing.
Devices are pin-to-pin and software compatible with current SAM3
Cortex-M3 processor-based microcontrollers (MCUs), offering a
smooth upwards migration path for performance and memory size.
Key Features
Improved Performance Level Built around the ARM
Cortex-M4 processor, the SAM4S operates at 120MHz and
integrates Atmel's Flash read accelerator and optional cache
memory to increase system performance. The SAM4S features a
multi-layer bus matrix, multi-channel direct memory access
(DMA) and distributed memory to support high data rate
communication.
Low Power Consumption The SAM4S series achieves
200A/MHz in dynamic mode at a low operating frequency; 30mA
at 120MHz; and 1A at 1.8V in back-up mode with the real-time
clock (RTC) running. Offering some of the best power
consumption/performance rates on the market for standby mode,
the SAM4S reaches 120MHz+ operating frequency with a RAM
retention mode below 25A.
Safety and Security Integrated best-in-class hardware code
protection:
- Prevents access to on-chip memory to protect your intellectual
property (IP).
- Supports secure device reconditioning (chip erase) for
reprogramming.
- A unique 128-bit ID and scrambled external bus interface ensure
software confidentiality while the hardware cyclic redundancy
check (CRC) checks memory integrity.

www.arrownac.com

Ease of Use Accelerate your development cycle with Atmel


Studio 6, a seamless, easy-to-use integrated development
environment (IDE). Available free of charge, the IDE comes with
hundreds of ARM project examples with source code that can
streamline your design process. Get a jump-start on your design
with dedicated evaluation kits and software packages. For rapid
evaluation and code development, Atmel and industry-leading
third parties provide a full range of development tools, real-time
operating system (RTOS), middleware and support services to
reduce time-to-market.

15

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 16

CYPRESS

ARM Cortex-M3 and Cortex-M4 Microcontrollers

PSOC 5 PLATFORM
PSoC 5 Components simplify advanced analog sensing, monitoring,
and control designs, giving you fast, flexible, integrated solutions in
applications such as:

Description:
PSoC 5 is a true programmable embedded system-on-chip integrating configurable analog and digital peripheral functions, memory and
an ARM Cortex-M3 microcontroller on a single chip.

CapSense capacitive touch sensing


Voltage monitoring
Environmental sensing
Fan/motor control

PSoC Creator is the Integrated Design Environment (IDE) for PSoC 5.


Standalone or coupled with an IDE such as Keil Vision4, PSoC
Creator allows concurrent hardware/software design of your PSoC
systems.

MCUs Cant. PSoC Can.


Inside the PSoC Creator software are 100+ Components. Components
are free Virtual Chips used to integrate multiple ICs and system
interfaces into one PSoC. Components are inherently connected to the
ARM Cortex-M3 MCU in PSoC 5 via the main system bus. Simply drag
and drop Component icons in PSoC Creator to design your systems.

www.arrownac.com

16

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 17

FREESCALE

ARM Cortex-M3 and Cortex-M4 Microcontrollers

KINETIS K SERIES MCUs


Description:
Kinetis is the most scalable portfolio of low
power, mixed signal ARM Cortex-M4
MCUs in the industry. Phase 1 of the portfolio
consists of five MCU families with over 200
pin-, peripheral- and software-compatible
devices. Each family offers excellent performance, memory and feature scalability
with common peripherals, memory maps,
and packages providing easy migration both
within and between families. The Kinetis
MCUs are Energy-Efficient Product Solutions
by Freescale.
Key Features
Ultra-Low-Power
10 low-power modes with power
and clock gating for optimal
peripheral activity and recovery
times. Stop currents of <500 nA,
run currents of <200 A/MHz, 4 s
wake-up from Stop mode
Full flash programming and analog peripheral operation down to
1.71V for extended battery life
Low-leakage wake-up unit with up to eight internal modules and
sixteen pins as wake-up sources in low-leakage stop (LLS)/very
low-leakage stop (VLLS) modes
Low-power timer for continual system operation in reduced power
state

Up to three high-speed comparators providing fast and accurate


motor over-current protection by driving PWMs to a safe state
Up to two programmable gain amplifiers with x64 gain for small
amplitude signal conversion
Accurate on-chip voltage reference eliminates need foraccurate
external voltage reference IC reducing overall system cost
Performance
ARM Cortex-M4 core + DSP. 50 - 120 MHz, single cycle MAC,
single instruction multiple data (SIMD) extensions, optional single
precision floating point unit
Up to 32-channel DMA for peripheral and memory servicing with
reduced CPU loading and faster system throughput
Cross bar switch enables concurrent multi-master bus accesses,
increasing bus bandwidth
Up to 16 KB of instruction/data cache for optimized bus bandwidth
and flash execution performance
Independent flash banks allowing concurrent code execution and
firmware updating with no performance degradation or complex
coding routines

Flash, SRAM and FlexMemory


32 KB - 1 MB flash. Fast access, high reliability with 4-level
security protection.
8 KB - 128 KB of SRAM
FlexMemory: 32 bytes - 16 KB of user-segmentable byte
write/erase EEPROM for data tables/system data. EEPROM with
over 10M cycles and flash with 100 sec write time (brownouts
without data loss or corruption). No user orsystem intervention to
complete programming and erase functions and full operation
down to 1.71V. In addition, FlexNVM from 32 KB - 512 KB for extra
program code, data or EEPROM backup.
Mixed-Signal Capability
Up to two high-speed 16-bit ADCs with configurable resolution.
Single or differential output mode operation for improved noise
rejection. 500 ns conversion time achievable with programmable
delay block triggering
Up to two 12-bit DACs for analog waveform generation for audio
applications

www.arrownac.com

17

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 18

FREESCALE

ARM Cortex-M3 and Cortex-M4 Microcontrollers

KINETIS K SERIES MCUs

(CONTINUED)

age extending up to 1 MB in a 144MAPBGA package with a rich suite


of analog, communication, timing and control peripherals. High
memory density K10 family devices include a single precision floating point unit and NAND flash controller. Additionally, pin compatibility, flexible low-power capabilities and innovative FlexMemory help
to solve many of the major pain points for system implementation.

Timing and Control


Up to four FlexTimers with a total of 20 channels. Hardware
dead-time insertion and quadrature decoding for motor control
Carrier modulator timer for infrared waveform generation in
remote control applications
Four-channel 32-bit periodic interrupt timer provides time base for
RTOS task scheduler or trigger source for ADC conversion and
programmable delay block

Kinetis K20 with Full- and High-Speed USB 2.0


The Kinetis K20 MCU family is pin, peripheral and software compatible with the K10 MCU family and adds full and high-speed USB 2.0
On-The-Go with device charge detect capability.

Human-Machine Interface
Xtrinsic low-power touch-sensing interface with up to 16 inputs.
Operates in all low-power modes (minimum current adder when
enabled). Hardware implementation avoids software polling
method. High sensitivity level allows use of overlay surfaces up to
5 mm thick.

Devices start from 32 KB of flash in 5 x 5 mm 32QFN packages


extending up to 1 MB in a 144MAPBGA package with a rich suite of
analog, communication, timing and control peripherals. High memory density K20 family devices include a single precision floating point
unit and NAND flash controller.

Connectivity and Communications


Up to six UARTs, with IrDA support including one UART with
ISO7816 smart card support. Variety of data size, format and
transmission/reception settings supported for multiple industrial
communication protocols
Inter-IC Sound (I2S) serial interface for audio system interfacing
Up to two CAN modules for industrial network bridging
Up to three DSPI and two I2C

Performance
The K30 MCU family is pin, peripheral and software compatible with
the K10 MCU family and adds a flexible low-power segment LCD
controller with support for up to 320 segments.
Devices start from 64 KB of flash in 64QFN packages extending up
to 512 KB in a 144MAPBGA package with a rich suite of analog,
communication, timing and control peripherals.

Reliability, Safety and Security


Memory protection unit provides memory protection for all
masters on the cross bar switch, increasing software reliability
Cyclic redundancy check engine validates memory contents and
communication data, increasing system reliability
Independent-clocked COP guards against clock skew or code run
away for fail-safe applications such as the IEC 60730 safety
standard for household appliances
External watchdog monitor drives output pin to safe state external
components if watchdog event occurs

K40 USB and Segment LCD MCUs


The K40 MCU family is pin, peripheral and software compatible with
the K10 MCU family and adds full-speed USB 2.0 On-The-Go with
device charge detect capability and a flexible low-power segment
LCD controller with support for up to 320 segments.
Devices start from 64 KB of flash in 64-pin QFN packages extending
up to 512 KB in a 144-pin MAPBGA package with a rich suite of analog, communication, timing and control peripherals.

External Peripheral Support


FlexBus external bus interface provides interface options to
memories and peripherals such as graphics displays. Supports
up to 6 chip selects.
Secure digital host controller supports SD, SDIO, MMC or CEATA
cards for in-application software upgrades, media files or adding
Wi-Fi support
NAND flash controller supports up to 32-bit ECC current and future
NAND types. ECC management handled in hardware, minimizing
software overhead.

K50 Measurement MCUs


The K50 MCU family is pin-, peripheral- and software-compatible
with other Kinetis microcontrollers and provides designers with an
Analog Measurement Engine consisting of integrated operational
and transimpedance amplifiers and high-resolution ADC and DAC
modules.

Kinetis K10 Low-Power Mixed-Signal MCU


The K10 family is the entry point into the Kinetis portfolio. Devices
start from 32 KB of flash in a small-footprint 5 x 5 mm 32 QFN pack-

www.arrownac.com

18

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 19

FREESCALE

ARM Cortex-M3 and Cortex-M4 Microcontrollers

KINETIS K SERIES MCUs

(CONTINUED)

The family also features IEEE 1588 Ethernet and hardware encryption, full-speed USB 2.0 On-The-Go with device charger detect
capability and a flexible low-power segment LCD controller with
support for up to 320 segments. Devices start from 128 KB of flash
in 64-pin QFN packages extending up to 512 KB in a 144-pin MAPBGA package.
K60 Ethernet Crypto MCUs
The K60 MCU family includes IEEE 1588 Ethernet, full- and highspeed USB 2.0 On-The-Go with device charge detect capability,
hardware encryption and tamper detection capabilities. Devices
start from 256 KB of flash in 100-pin LQFP packages extending up
to 1 MB in a 256-pin MAPBGA package, including a 5 x 5 mm waferlevel chip-scale package (WLCSP) with a 0.4 mm pitch. These
devices come with a rich suite of analog, communication, timing and
control peripherals.
High memory density K60 family devices include an optional single
precision floating point unit, NAND flash controller and DRAM controller.
K70 Graphic LCD MCUs
The K70 MCU family includes 512KB-1MB of flash memory, a single
precision floating point unit, Graphic LCD Controller, IEEE 1588
Ethernet, full- and high-speed USB 2.0 On-The-Go with device
charge detect, hardware encryption, tamper detection capabilities
and a NAND flash controller.

Integrated debugging interface allows for easy programming and


run control via standard USB cable
Cost Effective
Interchangeable peripheral modules can be re-used with all Tower
System controller modules, eliminating the need to purchase redundant hardware for future designs
Enabling technologies like LCD, Wi-Fi, motor control, serial and
memory interfacing are offered off-the-shelf at a low cost to provide
a customized enablement solution

256-pin devices include a DRAM controller for system expansion.


The Kinetis K70 family is available in 196 and 256 pin MAPBGA
packages.
Freescale Tower System
The Freescale Tower System is a modular development platform for
8-, 16- and 32-bit MCUs and MPUs that enables advanced development through rapid prototyping. Featuring multiple development
boards or modules, the Tower System provides designers with building blocks for entry-level to advanced MCU development.

Freescale MQX Software Solutions


Accelerate your design success with complimentary RTOS, TCP/IP
and USB stacks provided by Freescale MQX Software Solutions.
Available on Freescale processors for more than 15 years, Freescale
MQX Software Solutions offer a straightforward API with a modular
architecture, making it simple to fine tune custom applications and
scalable to fit most requirements. The combination of our marketproven Freescale MQX Software Solutions and silicon portfolio provides a streamlined and powerful platform by creating a comprehensive source for hardware, software, tools and services needs.

Modular and Expandable


Controller modules provide easy-to-use, reconfigurable hardware
Interchangeable peripheral modules (including communications,
memory and graphical LCD) make customization easy
Open-source hardware and standardized specifications promote
the development of additional modules for added functionality and
customization
Speeds Development Time
Open source hardware and software allows quick development
with proven designs

www.arrownac.com

19

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 20

FREESCALE

ARM Cortex-M3 and Cortex-M4 Microcontrollers

KINETIS K SERIES MCUs


Certifiable to Medical and Aerospace Standards
Even if your application does not require formal certification, the
robustness of the MQX RTOS helps provide a rock-solid platform
proven in thousands of time-critical, sophisticated applications.

www.arrownac.com

(CONTINUED)

For those who must follow a formal certification process, the MQX
RTOS platform has a record of past certifications in medical specifications (CFR 820.30 Part 21, IEC 60601-1) and the aerospace
requirements listed under DO-178b. Safety critical applications
based on MQX RTOS include eye surgery equipment, drug injection
equipment, radiation dose monitoring equipment, aircraft braking
systems and aircraft navigation equipment.

20

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 21

INFINEON

ARM Cortex-M3 and Cortex-M4 Microcontrollers

XMC4000 ARM CORTEX-M4 PROCESSORBASED MICROCONTROLLER FAMILY FOR


INDUSTRIAL APPLICATIONS
Description:
Infineon has taken their wealth of know-how in microcontroller
design for real-time critical control applications to combine it for
the first time ever with all benefits of an industry standard core.
The unique result, the XMC4000 microcontroller family based on
ARM Cortex-M4, is dedicated to industrial applications in the
field of renewable energy, factory and building automation, transportation, logistics and medical equipment.
Striving for energy-efficient solutions it greatly benefits from
Infineons well-known set of configurable peripherals, fast embedded Flash technology, high quality standards, long product life times,
and the ability to provide high temperature ranges of up to 125C,
backed by Infineons background as a leading supplier to automotive
markets. Adding the next-generation of DAVE DAVE 3 , a
revolutionary approach to lower SW efforts, industrial system developers can count on a powerful microcontroller platform for their
numerous industrial applications (XMC = Cross-Market
Microcontroller).

Key features of XMC4500


ARM Cortex-M4, 120 MHz, incl. single cycle DSP MAC and
floating point unit (FPU)
1 MB eFlash including hardware ECC
160 kB RAM
12-channel DMA
IEEE 1588 compliant Ethernet MAC
USB 2.0 full-speed on-the-go
Comprehensive set of timers and PWM, Delta-sigma Demodulator,
Position Interface
4x 12-bit ADC, 2x 12-bit DAC
6x Multi-function serial interface modules configurable to SPI, I2C,
I2S, UART
3x CAN nodes
External bus interface supporting SDRAM, SRAM, NOR-/NANDFlash and memory-mapped IO devices (e.g. LCD)
SD/MMC interface
Touch interface & LED Matrix
Battery-backed real-time clock with calendar function and
time-based or external wake-up capabilities
Extended temp range up to 125C

XMC4500 Microcontrollers
XMC4500, the first product generation of the XMC microcontroller
family, delivers the brainpower for a variety of industrial applications
facing high innovation pressure at decreasing development cycle
times. It is dedicated to enable highly energy-efficient products with
industrial interconnection capabilities.

www.arrownac.com

21

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg1-22_ResourceGuide2012-Fall/Winter 9/21/12 2:57 PM Page 22

MICROSEMI

ARM Cortex-M3 and Cortex-M4 Microcontrollers

SMARTFUSION
Description:
SmartFusion intelligent mixed signal FPGAs are the only devices
that integrate an FPGA, ARM Cortex-M3, and programmable analog, offering full customization, IP protection, and ease-of-use.
Based on Microsemi's proprietary flash process, SmartFusion FPGAs
are ideal for hardware and embedded designers who need a true
system-on-chip (SoC) solution that gives more flexibility than traditional fixed-function microcontrollerswithout the excessive cost of
soft processor cores on traditional FPGAs.

The SmartFusion family is supported by Libero Integrated Design


Environment (IDE), Microsemi's comprehensive software toolset for
designing with all Microsemi FPGAs.
Libero IDE includes industry-leading synthesis, simulation and debug
tools from Synopsys and Mentor Graphics as well as innovative timing and power optimization and analysis. For embedded designers,
Microsemi offers free SoftConsole Eclipse-based IDE with GNU, as
well as, evaluation versions of software from Keil and IAR
Systems. Full versions are available from the respective suppliers.
Micrium supports SmartFusion devices with their new real-time
operating system (RTOS) C/OS-III, TCP/IP stack and C/Probe products.

SmartFusion devices offer a compelling solution in a wide variety of


applications, including motor control, system and power management and industrial automation. These applications span the industrial, military, medical, telecommunications, computing and storage
markets.

www.arrownac.com

22

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:08 AM Page 1

NXP

ARM Cortex-M3 and Cortex-M4 Microcontrollers

NXP LPC4300 ARM CORTEX-M4


MICROCONTROLLERS
Description:
NXP's new Digital Signal Control (DSC) processors, based on the
ARM Cortex-M4 microcontroller core, bring high-performance
signal processing capabilities within the reach of the typical MCU
programmer. These DSC processors offer a high level of system
integration, reducing system design cost and complexity, while
simplifying the design cycle using a single tool chain. Additionally,
our ARM Cortex-M4 processor-based products will be upwardly code compatible from existing ARM Cortex-M3 and
Cortex-M0 processors. These products are an ideal single-chip
solution for applications such as motor control, power conversion,
audio, and communications.

Integrated, configurable NVIC


Compatible with ARM Cortex-M3
Configurable for ultra-low-power including Deep Sleep Mode and
Wakeup Interrupt Controller
Key applications
Motor control and power management
Industrial automation and robotics
Medical
Automotive accessories
Embedded audio

Combining MCU and DSP capabilities


The ARM Cortex-M4 processor combines the benefits of a microcontroller integrated interrupt control, low power modes, low cost
debug and ease of use with high-performance digital signal processing features such as single-cycle MAC, Single Instruction
Multiple Data (SIMD) techniques, saturating arithmetic, and a floating point unit.
Key Features and Benefits
DSP and SIMD extensions
Single-cycle MAC (up to 32 x 32 + 64 64)
Single-precision FPU

www.arrownac.com

23

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:08 AM Page 2

NXP

ARM Cortex-M3 and Cortex-M4 Microcontrollers

NXP LPC1300 ARM CORTEX-M3


MICROCONTROLLERS
Description:
32-bit ARM Cortex-M3 microcontroller; up to 32 kB flash
and 8 kB SRAM; USB device.

Extensive range of peripherals


The peripheral complement of the LPC1300 includes up to 32 kB of
flash memory, up to 8 kB of data memory, USB Device (LPC134x
only), one Fast-mode plus (Fm+) I2C interface, one UART, four general purpose timers, and up to 42 general purpose I/O pins.

The LPC1300 devices are ARM Cortex-M3 processor-based


microcontrollers for embedded applications featuring a high level of
integration and low power consumption. The ARM Cortex-M3 is a
next generation core that offers system enhancements such as
enhanced debug features and a higher level of support block integration. The LPC1300 devices operate at CPU frequencies of up to 72
MHz. The ARM Cortex-M3 CPU incorporates a 3-stage pipeline
and uses a Harvard architecture with separate local instruction and
data buses as well as a third bus for peripherals. The ARM CortexM3 CPU also includes an internal prefetch unit that supports speculative branching.

www.arrownac.com

24

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:08 AM Page 3

NXP

ARM Cortex-M3 and Cortex-M4 Microcontrollers

NXP LPC1700 ARM CORTEX-M3


MICROCONTROLLERS
Description:
32-bit ARM Cortex-M3 microcontroller; up to 512 kB flash
and 96 kB SRAM with optional peripherals.

A full range of development tools


Embedded Artists' LPC1788 Developer's Kit lets you get up-and-running quickly with the LPC1788 OEM Board. The LPC1788 OEM Board
is equipped with NXP's ARM Cortex-M3 processor-based
LPC1788 microcontroller suitable for a wide range of applications
that require advanced communication and high quality graphic displays.The OEM board ships with an LPC1788 device that is a superset of several other device variants of the NXP LPC177x/8x microcontroller series.

The LPC176x/5x are ARM Cortex-M3 microcontrollers for


embedded applications featuring a high level of integration and low
power consumption at frequencies of 100 MHz (120 MHz for
LPC1769 and 1759). Features include up to 512 kB of flash memory, up to 64 kB of data memory, Ethernet MAC, USB
Device/Host/OTG, 8-channel DMA controller, 4 UARTs, 2 CAN channels, 3 SSP/SPI, 3 IC, IS, 8-channel 12-bit ADC, 10-bit DAC, motor
control PWM, Quadrature Encoder interface, 4 general purpose
timers, 6-output general purpose PWM, ultra-low power Real-Time
Clock with separate battery supply, and up to 70 general purpose I/O
pins. The LPC176x are pin-compatible to the 100-pin LPC236x
ARM7 series.

IAR's LPC1788 Developer's Kit contains all the necessary hardware


and software and and allows you to design, integrate and test your
applications. The LPC1788 Evaluation Board is equipped with the
ARM Cortex-M3 LPC1788 microcontroller and is suitable for a
wide range of applications that requires advanced communication
and high quality graphic displays.

LPC177x/178x

MPU

GPDMA

CRC
Engine

NVIC

Brownout
Detector

USB PLL

WIC

Power-on
Reset

CPU PLL

Debug
Trace

Watchdog
Timer

IRC

Flash
Up to 512 KB

EEPROM
4 KB

ARM CO RTEX-M3
Up to 120 MHz

CORE

SRAM
Up to 96 KB

ROM
MEMORY

SYSTEM

Bus System

2 x CAN 2.0B

External
Mem Ctrl

5 x UART

Standard
PWM

FS USB 2.0
H/D/O

SD/MMC

3 x SSP/SPI

4 x 32-bit
Timers

Ethernet
MAC

GPIO

2 x I 2C

RTC
with Event
Recorder

LCD
Controller

I 2S

I 2C FM+

Tick
Timer

Quadrature
Encoder
Interface

Motor
Control
PWM

MOTOR CONTROL

12-Bit /8 Ch
ADC

10-bit
DAC

ANALOG

INTERFACES

www.arrownac.com

25

TIMERS

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:08 AM Page 4

NXP

ARM Cortex-M3 and Cortex-M4 Microcontrollers

NXP LPC1800 ARM CORTEX-M3


MICROCONTROLLERS
Description:
32-bit ARM Cortex-M3 MCU; up to 200 kB SRAM, with
optional peripherals

A Full range of development tools


The Hitex LPC1850 evaluation board has a variety of special features
showcasing the high-performance DSC capability of the LPC1850
microprocessor. The board is USB-powered, but can also be driven
by external power supply or via power-over-Ethernet. It is equipped
with 65MB SDRAM, 32MB parallel flash and 512kB SRAM and a serial EEPROM. For debugging a JTAG as well as a 20-pin Cortex
debug connector with ETM is available. All channels (USB1 and
USB2) as well as Ethernet are provided by Phy. This makes USB
device, USB host and OTG available as well as UART and CAN.

The LPC1800 series of ARM Cortex-M3 processor-based microcontrollers offer industry leader performance, large internal memories and advanced peripherals. With up to 1 MB of flexible dual-bank
Flash, the LPC1800 series offers the highest reliability in-application
re-programming, and allows for non-stop Flash operation. The
LPC1800 also includes up to 200 kB of on-chip SRAM provided in
multiple banks. Running up to 180 MHz, the LPC1800 feature
advanced peripherals such as Ethernet, High Speed USB 2.0
Host/OTG/Device, LCD controller, and CAN 2.0B and two new innovative peripherals: a flexible quad-SPI interface and a State
Configurable Timer subsystem. All members of the series include a
Wakeup Interrupt Controller allowing automatic wake from any priority interrupt as well as reduced power modes.

www.arrownac.com

26

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:08 AM Page 5

SILICON LABS

ARM Cortex-M3 and Cortex-M4 Microcontrollers

SIM3C1XX AND SIM3U1XX


ARM CORTEX-M3 MICROCONTROLLERS
Description:
The Precision32 family offers a full lineup of footprint compatible
ARM Cortex-M3 processor-based 32-bit microcontroller devices
ranging in Flash size from 32 to 256 kB with industry-leading benefits
in terms of low power, analog capabilities, configurability, rapid development and integration.
Initializing peripherals and the patented dual-crossbar is a breeze with
the Precision32 AppBuilder, a free GUI that enables developers to quickly and graphically initialize peripherals, properties, and pinouts all
without reading the data sheet. Combining the worlds best peripherals
with the de facto standard 32-bit core makes designing with an MCU
easier than ever.
Key Features
32-bit ARM Cortex-M3 CPU
80 MHz maximum system clock
32 to 256 kB Flash and 8 to 32 kB SRAM
External bus interface supports up to 16 MB external memory and
parallel LCD interface
Ultra-low-power modes
85 nA sleep mode w/ brown out detector and 4 kB RAM preserved
350 nA sleep mode w/ RTC (internal oscillator)
650 nA sleep mode w/ RTC (external oscillator)
275 A/MHz at 80 MHz
Internal oscillator with PLL: 180 MHz 1.5% accuracy; spreadspectrum mode for reduced EMI
2 x 12-bit 250 ksps ADCs (1 MSPS in 10-bit mode)
1.2/1.65/2.4 V internal voltage references

www.arrownac.com

Key Features (Continued)


2 x 10-bit digital-to-analog converters
Temperature sensor
2 low-power comparators with 6-bit programmable voltage
reference
16 capacitive touch inputs
16-channel DMA
2 x USART, 2 x UART, 3 x SPI, 2 x I2C, I2S
2 x 32-bit or 4 x 16-bit timers with capture/compare
2 x 16-bit, 2-channel counters with capture/compare/PWM
16-bit, 6-channel motor control counter
Up to 6 high drive (5300mA) I/O
Up to 65 flexible I/O
128/192/256-bit hardware AES encryption
16/32-bit CRC
JTAG, serial-wire, and ETM debugger support
Temperature range: 40 to +85C
2.75.5 V supply voltage (internal regulator enabled)
1.83.6 V supply voltage (internal regulator disabled)
LGA, QFN and TQFP package options (40/64/80/92-pin)

27

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:08 AM Page 6

SILICON LABS

ARM Cortex-M3 and Cortex-M4 Microcontrollers

SIM3L1XX ARM CORTEX-M3


ULTRA-LOW-POWER MICROCONTROLLERS
Description:
High-Performance, Low-Power, 32-Bit Precision32 MCU Family
with up to 256 kB of Flash

Analog Peripherals
- 12-Bit Analog-to-Digital Converter: Up to 250 ksps 12-bit mode
or 1 Msps 10-bit mode
- 10-Bit Current-mode Digital-to-Analog Converter
- 2 x Low-current comparators

Features
32-bit ARM Cortex-M3 CPU
- 50 MHz maximum frequency
- Single-cycle multiplication, hardware division support
- Nested vectored interrupt control (NVIC) with 8 priority levels

Digital and Communication Peripherals


- 1 x USART with IrDA and ISO7816 Smart card support
- 1 x UART that operates in low power mode
- 2 x SPIs, 1 x I2C, 16/32-bit CRC
- 128/192/256-bit Hardware AES Encryption
- Encoder/Decoder: Manchester and 3 out of 6
- Integrated LCD Controller: up to 160 segments (40x4),
auto-contrast and low power operation

Memory
- 32256 kB flash, in-system programmable
- 832 kB SRAM with configurable low power retention
Clock Sources
- Internal oscillator with PLL: 2350 MHz
- Low power internal oscillator: 20 MHz
- Low frequency internal oscillator (LFO): 16.4 kHz
- External real-time clock (RTC) crystal oscillator
- External oscillator: Crystal, RC, C, CMOS clock

Timers/Counters
- 3 x 32-bit or 6 x 16-bit timers with capture/compare
- 16-bit, 6-channel counter with capture/compare/PWM and
dead-time controller with differential outputs
- 16-bit low power timer/advanced capture counter operational in
the lowest power mode
- 32-bit real time clock (RTC) with multiple alarms
- Watchdog timer
- Low power mode advanced capture counter (ACCTR)

Power Management
- Three (two scaleable) low drop-out (LDO) regulators
- Power-on reset circuit and brownout detectors
- DC-DC buck converter allows dynamic voltage scaling for
maximum efficiency (250 mW output)
- Multiple power modes supported for low power optimization

Data Transfer Peripherals


- 10-Channel DMA Controller
- 3 Channel Data Transfer Manager manages complex DMA
transfers without core intervention

Low Power Features


- 50 nA current mode with voltage supply monitor enabled
- Low-current RTC (180 nA from LFO, 370 nA from crystal)
- 2.5 s wakeup, register state retention and no reset required
from lowest power mode
- 180 A/MHz at 3.3 V executing from flash
- 140 A/MHz at 3.3 V executing from SRAM
- Specialized on-chip charge pump reduces power consumption
- Process/Voltage/Temperature (PVT) Monitor

On-Chip Debugging
- Serial wire debug (SWD) with serial wire viewer (SWV), JTAG (no
boundary scan) allow debug and programming
- Cortex-M3 embedded trace macrocell (ETM)
Package Options
- QFN options: 40-pin (6 x 6 mm), 64-pin (9 x 9 mm)
- TQFP options: 64-pin (10 x 10 mm), 80-pin (12 x 12 mm)

5 V Tolerant Flexible I/O


- Up to 62 contiguous 5 V tolerant GPIO with one priority crossbar
providing flexibility in pin assignments
Temperature Range: 40 to +85 C
Supply Voltage: 1.8 to 3.8 V

www.arrownac.com

28

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:08 AM Page 7

STMICROELECTRONICS

ARM Cortex-M3 and Cortex-M4 Microcontrollers

STM32 L1 ARM CORTEX-M3


ULTRA-LOW-POWER 32-BIT MCU SERIES
Description:
The STM32 family of 32-bit Flash microcontrollers based on the
ARM Cortex-M processor is designed to offer new degrees of
freedom to MCU users. It offers 32-bit product range that combines
high performance, real-time capabilities, digital signal processing,
and low power, low voltage operation, while maintaining full integration and ease of development.
The unparalleled and large range of STM32 devices, based on an
industry-standard core and accompanied by a vast choice of tools
and software, makes this family of products the ideal choice, both
for small projects and for entire platform decisions.
Based on the ARM Cortex-M3 core running at 32 MHz and STs
proprietary ultra-low-leakage process, the STM32 L1 series offers a
wide portfolio in features, memory size and package pin count. The
STM32 L1 and the well-known STM8 L1 are both members of the
ultra-low-power family and share the same ultra-low-leakage
process technology developed by ST.

This innovative architecture (voltage scaling, ultra-low-power MSI


oscillator) gives your design more performance for a very low power
budget. The large number of embedded peripherals, such as the LCD
interface, op-amp, comparator, ADC, DAC and AES, gives it a very
high level of integration for all your needs.

The STM32 L1 series combines ultra-low-power and performance.


The portfolio covers from 32 to 384 Kbytes of Flash memory (up to
48 Kbytes of RAM and 12 Kbytes of true embedded EEPROM) and
from 48 to 144 pins. The series is available in three different lines:
STM32L151 (no LCD), STM32L152 (LCD), STM32L162 (LCD and
AES-128).

www.arrownac.com

To ease your migration and give you all the flexibility you need, the
STM32L is pin-to-pin compatible with the STM32F and opens the
door to the full STM32 ecosystem.

29

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:08 AM Page 8

STMICROELECTRONICS

ARM Cortex-M3 and Cortex-M4 Microcontrollers

STM32 F1 ARM CORTEX-M3


MICROCONTROLLER SERIES
Description:
The STM32 F1 series incorporates the high-performance ARM
Cortex-M3 32-bit RISC core operating at a 32 MHz frequency, highspeed embedded memories (Flash memory up to 1 Mbyte and SRAM
up to 96 Kbytes), and an extensive range of enhanced peripherals and
I/Os connected to two APB buses. All devices offer standard communication interfaces (up to two I2Cs, two SPIs, one HDMI CEC, and up
to three USARTs), one 12-bit ADC, two 12-bit DACs, up to six generalpurpose 16-bit timers and an advanced-control PWM timer.

power supply. A comprehensive set of power-saving mode allows the


design of low-power applications.
The STM32 F1 series includes devices in three different packages
ranging from 48 pins to 100 pins. Depending on the device chosen,
different sets of peripherals are included. These features make the
STM32 F1 series is suitable for a wide range of applications such as
application control and user interfaces, medical and handheld
equipment, PC and gaming peripherals, GPS platforms, industrial
applications, PLCs, inverters, printers, scanners, alarm systems,
video intercoms, and HVACs.

The STM32 F1 low- and medium-density series operates in the 40


to +85C and 40 to +105C temperature ranges, from a 2.0 to 3.6 V

www.arrownac.com

30

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:08 AM Page 9

STMICROELECTRONICS

ARM Cortex-M3 and Cortex-M4 Microcontrollers

STM32 F2 ARM CORTEX-M3


HIGH-PERFORMANCE MCU SERIES
the multi-layer bus matrix offering an unprecedented price/performance trade-off.

Description:
The STM32 family of 32-bit Flash microcontrollers based on the
ARM Cortex-M processor is designed to offer new degrees of
freedom to MCU users. It offers 32-bit product range that combines
high performance, real-time capabilities, digital signal processing,
and low power, low voltage operation, while maintaining full integration and ease of development.

This series is characterized by a high degree of integration combining up to 1 Mbyte of Flash memory and up to 128 Kbytes of SRAM
with Ethernet MAC, USB 2.0 HS OTG, camera interface, and hardware encryption support and external memory interface.

The unparalleled and large range of STM32 devices, based on an


industry-standard core and accompanied by a vast choice of tools
and software, makes this family of products the ideal choice, both
for small projects and for entire platform decisions.

STs acceleration technology enables these MCUs to achieve up to


150 DMIPS at 120 MHz FCPU which is equivalent to zero wait state
execution, while keeping the dynamic current consumption at the
outstandingly low level of 188 A/MHz.

The ARM Cortex-M3 processor-based STM32 F2 series is built


on STs advanced 90 nm NVM process technology with the innovative adaptive real-time memory accelerator (ART Accelerator) and

The devices are available in LQFP64, LQFP100, LQFP144, WLCSP64


(< 4 x 4 mm), UFBGA176 and LQFP176 packages.

www.arrownac.com

31

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:08 AM Page 10

STMICROELECTRONICS

ARM Cortex-M3 and Cortex-M4 Microcontrollers

STM32W ARM CORTEX-M3 WIRELESS MCUs


Description:
The STM32 family of 32-bit Flash microcontrollers based on the
ARM Cortex-M processor is designed to offer new degrees of
freedom to MCU users. It offers 32-bit product range that combines
high performance, real-time capabilities, digital signal processing,
and low power, low voltage operation, while maintaining full integration and ease of development.
The unparalleled and large range of STM32 devices, based on an
industry-standard core and accompanied by a vast choice of tools
and software, makes this family of products the ideal choice, both
for small projects and for entire platform decisions.
The STM32 family has been extended to the IEEE 802.15.4 wireless
network domain bringing outstanding radio and low-power microcontroller performances.
Embedded 2.4 GHz IEEE 802.15.4 radio
Best-in-class code density, thanks to its ARM Cortex-M3 core
Low-power architecture
With a configurable total link budget up to 109 dB and the efficiency
of the ARM Cortex-M3 core, the STM32W is a perfect fit for the
wireless sensor network market.

It is an open platform with extra resources for application


integration:
Configurable I/Os, ADC, timers, SPI, UART
Main software libraries: RF4CE, IEEE 802.15.4 MAC

www.arrownac.com

The STM32W series includes devices with 64 to 256 Kbytes of


on-chip Flash memory, up to 16 Kbytes of SRAM and is available in
VFQFN40, UFQFN48 and VFQFN48 packages.

32

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:08 AM Page 11

STMICROELECTRONICS

ARM Cortex-M3 and Cortex-M4 Microcontrollers

STM32 F3 ARM CORTEX-M4 MIXED-SIGNAL


MCUs WITH DSP AND FPU INSTRUCTIONS
Description:
The STM32 family of 32-bit Flash microcontrollers based on the
ARM Cortex-M processor is designed to offer new degrees of
freedom to MCU users. It offers 32-bit product range that combines
high performance, real-time capabilities, digital signal processing,
and low power, low voltage operation, while maintaining full integration and ease of development.

racy), fast 50 ns comparators and versatile time control units running


at 144 MHz, giving optimum integration.
The STM32 F3 series allows designers to tackle mixed-signal control applications. The devices are optimized for efficient handling and
processing of mixed signals in circuits such as 3-phase motor controllers, biometrics and industrial sensor outputs or audio filters.
They help simplify design, cut power consumption and reduce PCB
size in consumer, medical, portable fitness, system monitoring and
metering applications.

The unparalleled and large range of STM32 devices, based on an


industry-standard core and accompanied by a vast choice of tools
and software, makes this family of products the ideal choice, both
for small projects and for entire platform decisions.

Pin compatible with the STM32 F1 series, the new STM32 F3 series
enlarges the STM32s ARM Cortex-M4 processor-based portfolio
which now offers both entry-level cost with the F3 series and highest performance with the F4 series.

The STM32 F3 series of microcontrollers combines a 32-bit ARM


Cortex-M4 core with a DSP and FPU instructions running at 72
MHz with advanced analog peripherals for more flexibility. This
series innovates in embedded DSC design by combining a ARM
Cortex-M4 core with fast 12-bit 5 MSPS and precise 16-bit
sigma-delta ADCs, programmable gain amplifiers (4 gains, 1% accu-

www.arrownac.com

The STM32 F3 series includes devices with 64 to 256 Kbytes of onchip Flash memory, up to 48 Kbytes of SRAM and is available in
WLCSP66 (less than 4.3 x 4.3 mm), LQPF48, LQFP64, LQFP100 and
UFBGA100 packages.

33

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:10 AM Page 12

STMICROELECTRONICS

ARM Cortex-M3 and Cortex-M4 Microcontrollers

STM32 F4 ARM CORTEX-M4


HIGH-PERFORMANCE MCUs WITH DSP
AND FPU INSTRUCTIONS
processor-based microcontrollers with 210 DMIPS/469 Coremark
executing from Flash memory at 168 MHz operating frequency.

Description:
The STM32 family of 32-bit Flash microcontrollers based on the
ARM Cortex-M processor is designed to offer new degrees of
freedom to MCU users. It offers 32-bit product range that combines
high performance, real-time capabilities, digital signal processing,
and low power, low voltage operation, while maintaining full integration and ease of development.

The DSP instructions and the floating point unit enlarge the range of
addressable applications. The STM32 F4 series is the result of a perfect symbiosis of the real-time control capabilities of an MCU and the
signal processing performance of a DSP, and thus complements the
STM32 portfolio with a new class of devices, digital signal controllers
(DSC).

The unparalleled and large range of STM32 devices, based on an


industry-standard core and accompanied by a vast choice of tools
and software, makes this family of products the ideal choice, both
for small projects and for entire platform decisions.

The STM32 F4 series remains pin-to-pin and software compatible


with the STM32 F2 series and features more SRAM, peripheral
improvements such as full duplex IS, improved RTC and faster
ADCs.

The ARM Cortex-M4 processor-based STM32 F4 series is an


extension of the industry-leading STM32 portfolio towards even
higher performance. Like the STM32 F2 series, these MCUs leverage
STs 90 nm NVM technology and STs ART Accelerator to reach
the industrys highest benchmark scores for ARM Cortex-M

www.arrownac.com

The devices are available in LQFP64, LQFP100, LQFP144, LQFP176


and UFBGA176 packages.

34

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:10 AM Page 13

TEXAS INSTRUMENTS

ARM Cortex-M3 and Cortex-M4 Microcontrollers

TMS470M ARM CORTEX-M3


MICROCONTROLLERS
Description:
TMS470M microcontrollers use the widely adopted ARM Cortex-M3
CPU running at 80MHz. The family offers Flash memory options ranging from 256KB to 640KB (including up to 128KB EEPROM emulation
capability) and RAM memory ranging from 16KB to 64KB. A wide range
of connectivity and control peripherals include two CAN controllers, two
LINs/UARTs, two multi-buffered SPIs, a 10-bit multi-buffered Analog to
Digital converter and the powerful High End Timer co-processor module (HET). Built-in safety features like CPU and RAM self-test (BIST)
engines, error correction code (ECC) and parity-checking make the
TMS470M family a great value extension of the well-known ARM
Cortex-R4 processor-based TI TM5570 family for safety and
transportation applications.

www.arrownac.com

Key Features
80MHz ARM Cortex-M3 CPU
Up to 640KB Flash / 64KB RAM with ECC protection and EEPROM
emulation
Single 3.3V supply (Vreg on-chip)
Various communication peripherals 2 CAN, 2 MibSPIs, 2 LIN/UART
Flexible Timer module (16ch)
10-bit Analog/Digital converter (16ch)
Safety features (ECC, BISTs, CRC)
Pin and software compatible family
Embedded debug module
For details on tools and software, go to:
www.ti.com/mcu/docs/mcuprodtoolsw.tsp?sectionId=95&tabId=28
30&familyId=1999&toolTypeId=1

35

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:10 AM Page 14

TEXAS INSTRUMENTS

ARM Cortex-M3 and Cortex-M4 Microcontrollers

STELLARIS ARM CORTEX-M3S


MICROCONTROLLERS
Description:
Stellaris MCUs and ARM Cortex-M3 offer a direct path to the
strongest ecosystem of development tools, software, and knowledge in
the industry. Designers who migrate to Stellaris will benefit from great
tools, small code footprint, and outstanding performance.

The Stellaris ARM Cortex-M3S microcontrollers (MCUs) feature an


on-chip combination of 10/100 Ethernet MAC/PHY, USB On-TheGo/Host/Device, and Controller Area Network (CAN). There is also an
option for the versatile External Peripheral Interface (EPI) with modes to
support SDRAM, SRAM/Flash, Host-Bus, and M2M parallel communication modes. In addition, the Integrated Interchip Sound (I2S) interface,
simultaneous dual ADC capability, a second watchdog timer with independent clock for safety-critical applications (supported by the IEC
60730 library addition to the StellarisWare Library), and a 16MHz, software-trimmed, one percent precision oscillator add flexibility and performance to any application.

The Stellaris family offers the industrys first and broadest implementation of ARM Cortex-M3 and the Thumb-2 instruction set. With
blazingly-fast responsiveness, Thumb-2 technology combines both 16bit and 32-bit instructions to deliver the best balance of code density
and performance. Thumb-2 uses 26 percent less memory than pure
32-bit code to reduce system cost while delivering 25 percent better
performance.

www.arrownac.com

For details on tools and software, go to:


http://www.ti.com/lsds/ti/microcontroller/arm_stellaris/kits_modules.p
age

36

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:10 AM Page 15

TOSHIBA

ARM Cortex-M3 and Cortex-M4 Microcontrollers

TX03 MICROCONTROLLER WITH ARM


CORTEX-M3 PROCESSOR CORES
Description:
Toshiba's TX03 Microcontroller Series is built around ARM CortexM3 CPU technology. The ARM Cortex-M3 processing core offers high
code density, efficient data RAM usage, fast interrupt handling and
higher processing efficiency than ARM7 or ARM9 cores, and is
strongly supported by many third-party tools and software suppliers.

M370 Group
The M370 Group of microcontrollers contain a Vector Engine (VE) that
implements the common computation functionality for motor vector
control. Additionally, the VE is closely coupled with a three-phase PWM
timer and a 12-bit AD converter for motor current sensing. The M370
Group are designed to improve motor control efficiency while reducing
software workload. The M370 Group operate with a single 5V supply
and provide analog comparators and amplifiers to help cut system
costs.
High-performance ARM Cortex-M3 core: 80-MHz operation (max)
Toshiba-original NANO FLASHTM memory: Fast programming
Toshiba-original Vector Engine (VE) that implements part of motor
vector control as hardware
Various analog circuits* (comparators, op amps) *TMPM370 only
12-bit AD converter
Oscillation frequency detection (OFD); compliant with the IEC 60730
standard
Single 5V power supply
Small package (7 x 7 mm LQFP48) Note: TMPM373FWDUG only

M360 Group
The base versions of the M360 Group include microcontrollers that
offer large-capacity Flash ROM and up to 18 serial interface channels.
The advanced versions also provide USB, CAN and EtherMAC interfaces, which are becoming de-facto standards in embedded systems,
as well as motor control capabilities. The M360 Group are ideal for a
wide range of applications such as multifunction printers, audiovisual
systems, industrial equipment and digital appliances.
High-performance ARM Cortex-M3 core: 80-MHz operation (max)
Large-capacity embedded Flash ROM fabricated using Toshibaoriginal NANO FLASH technology
Various serial interfaces (up to 18 channels)
USB device, USB host, CAN and EtherMAC controllers suitable for
multi-connection systems
External bus interface that can be connected to SoCs and external
extended memory
Multi-purpose timers capable of controlling various motors and
IGBTs
CEC interface and remote control signal preprocessor that remain
active even in SLEEP mode
Oscillation frequency detection (OFD)
Small package (9 x 9 mm TFBGA109)
Note: TFBGA package of the TMPM366 and TMPM367 only

www.arrownac.com

37

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:10 AM Page 16

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:10 AM Page 17

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:10 AM Page 18

TEXAS INSTRUMENTS

ARM Cortex-R4 Microcontrollers

TMS570LS ARM CORTEX-R4F SERIES


Description:
TMS570 Transportation MCUs are the industrys first ARM
Cortex-R4F processor-based floating point MCUs that meet
IEC61508/SIL3 safety standards. Targeted transportation safety
applications include automotive chassis and stability control, electric
power steering, hybrid and electric vehicles, aerospace, railway
communications, and off-road vehicle engine control.

The TMS570 family integrates dual ARM Cortex-R4F processors


in lock-step and is designed to meet automotive and transportation
safety standards. These devices provide system-wide protection
through seamless support for error detection from the processor,
through the bus interconnect, and into the memories. The TMS570
development process and safety concept has been IEC61508/SIL3
certified by Exida, an independent third party assessor.
TMS570 MCUs also have an integrated high-performance Floating
Point Unit (FPU) which can provide both single and double precision.
The FPU along with flexible control peripherals simplifies the development of real-time auto coded applications generated from physical based modeling tools.
Key features
Up to 180 MHz, ARM Cortex-R4F CPU
Lock-Step CPUs with fail safe detection logic
Up to 3 MB of Embedded Flash Memory with ECC
Dual 12-bit ADC, 8 redundant channels
Ethernet, FlexRay, CAN, LIN, Multi-Buffered SPIs, and DMA
Timer Co-Processer for PWM and input capture
Package options: 144 QFP and 337 BGA
For details on tools and software, go to:
www.ti.com/mcu/docs/mcuprodtoolsw.tsp?sectionId=95&tabId=26
61&familyId=1870&toolTypeId=1

www.arrownac.com

40

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:10 AM Page 19

TEXAS INSTRUMENTS

ARM Cortex-R4 Microcontrollers

HERCULES RM4 ARM CORTEX-R4F SERIES


Description:
The Hercules RM4x Safety MCU family enables customers to easily
develop safety critical industrial and medical applications. The
RM48x ARM Cortex-R4F core offers up to 220MHz of floating
point performance, more than 350 DMIPS. With integrated safety
features, advanced connectivity options, and flexible real-time control peripherals, the RM4x family is an ideal solution for industrial
and medical applications with specific safety requirements.

The RM48x is the highest performance Hercules Safety microcontroller family. Based on the ARM Cortex-R4F floating point core
running at up to 220 MHz it includes several flash memory and connectivity options. Developed with the capability to meet the requirements of the IEC 61508 SIL-3 safety standard and supporting many
functional safety features including a dual-CPU lockstep architecture, hardware built-in self-test (BIST), memory protection unit
(MPU), error correction code (ECC) and parity checking, the RM4x
safety microcontrollers provide a high level of diagnostic coverage
without costly safety software overhead.
A wide choice of communication interfaces makes this family an
ideal solution for safety critical industrial and medical applications.
Key features
ARM Cortex-R4F core with floating-point support
Up to 220 MHz
Lockstep safety features built-in simplify SIL-3 applications
Up to 3MB Flash/256KB RAM with ECC
Memory protection units in CPU and DMA
Multiple network peripherals:
Ethernet, USB, CAN
Flexible timer module with up to 44 channels
12-bit analog/digital converter
External memory interface
For details on tools and software, go to:
www.ti.com/mcu/docs/mcuprodtoolsw.tsp?sectionId=95&tabId=28
43&familyId=2056&toolTypeId=1

www.arrownac.com

41

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:10 AM Page 20

FREESCALE

ARM Cortex-A5

VYBRID CONTROLLER SOLUTIONS


Scalable Across Multiple Cores
Vybrid devices have a dual core architecture that combines the ARM
Cortex-A5 application processor and the ARM Cortex-M4 for realtime control. The Vybrid portfolio is designed to be a transitional product
from Kinetis MCUs featuring the ARM Cortex-M4 core and the i.MX6
series featuring the ARM Cortex-A9 core, while also providing scalable
devices that can address the needs of a market that demands critical
safety and security, connectivity and rich HMI in the same piece of silicon. The Vybrid roadmap is built with this scalability and code compatibility in mind so that the performance of the device roadmap grows with
the customers needs long into the future.

Description:
The Vybrid portfolio brings to market a unique, low-power system
solution that provides customers a way to combine rich applications
requiring high-resolution graphical displays and connectivity with realtime determinism. The Vybrid portfolio enables customers to create systems that concurrently run a high-level operating system such as Linux
and a real-time operating system such as MQX on the same device.
This, along with a communication API between the rich domain, the
real-time domain and a tool chain that eases debug of such systems,
dramatically shortens customer time to revenue. The families in the
Vybrid portfolio span entry level products for customers who want to
upgrade from the Kinetis MCU to devices with large on-chip SRAM up
to highly integrated, heterogeneous dual-core solutions that can serve
industrial and consumer markets. Each device in the Vybrid portfolio
offers a rich suite of reference designs, app notes, board support packages and middleware for its market space. This, along with the vast
resources of Freescale, ARM and open source communities, will help
customers to develop software solutions and support for their applications and dramatically reduce time-to-market.

One of the key benefits of the Vybrid heterogeneous architecture that


combines the ARM Cortex-A5 core with the ARM Cortex-M4 core is the
partitioning of tasks based on their characteristics. For tasks that need
predictable interrupt management, for example, a typical need for realtime applications, the Vybrid platform has the ARM Cortex-M4 core with
a Nested Vector Interrupt Controller (NVIC) while allowing graphical
applications and connectivity stacks to be run on the ARM Cortex-A5
applications processor.

Part #: TWR-VF65GS10
Coming Soon

www.arrownac.com

42

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:10 AM Page 21

FREESCALE

ARM Cortex-A5

VYBRID CONTROLLER SOLUTIONS

(CONTINUED)

Software can be segmented so that tasks that need predictable latencies can be run on the ARM Cortex-M4 core and computer-intensive
processes run on the ARM Cortex-A5 core.
Total System Solution
Vybrid devices take a total system approach. Complementing the lowpower silicon is a reference Linux BSP, a full-featured MQX RTOS, reference MQX BSP and a processor-to-processor communication API that
lets customers partition their code between the ARM Cortex-A5 (e.g.,
running Linux) and ARM Cortex-M4 (e.g., running MQX) to implement
the lowest power solution for their application demands. In addition,
customers have access to industry-leading IDE tool chains such as
CodeWarrior with Processor Expert, ARM DS-5 and IAR. A selection of
connectivity, motor control, LCD, security stacks and drivers is also
available. Vybrid devices are supported by Freescales Tower System,
offering the flexibility to easily scale and expand customer designs
based on market need. Tower Systems allow rapid prototyping in a
development platform that maximizes hardware reuse and speeds
time-to-market. Vybrid devices also accelerate time-to-market by providing a range of on-demand resources including reference designs,
application notes, white papers and training to assist in implementing
designs.
Low-Power Process
One of the critical foundational pieces of the Vybrid platform is its lowpower process technology. The devices in this portfolio are fabricated in
the 40 nm low-power process. This enables more integration for a given
power envelope thus dissipating much less power for the same device.
Unprecedented System Integration
The Vybrid platform has an unprecedented level of system integration
for a solution of its class. The centerpiece is the core complex featuring
the ARM Cortex-A5 and ARM Cortex-M4 cores.

www.arrownac.com

43

Resource Guide Fall/Winter 2012

ARG/2012/Fall/Winter-Pg23-44_ResourceGuide2012-Fall/Winter 9/18/12 10:10 AM Page 22

ALTERA

ARM Cortex-A8 and Cortex-A9 Processors

DUAL-CORE ARM CORTEX-A9 MPCORE


PROCESSOR
Key Features
800-MHz dual-core processor supporting symmetric and
asymmetric multiprocessing
Each processor includes the following:
- High-efficiency, dual-issue superscalar pipeline (2.5 MIPS*
per MHz)
- NEON media processing engine for media and signal
processing acceleration
- Single- and double-precision floating-point unit
- 32-KB instruction and 32-KB data caches
- Cache coherence for enhanced inter-processor communication
- Memory Management Unit with TrustZone security technology
- Thumb-2 technology for enhanced code density, performance,
and power efficiency
- Jazelle architecture extensions for accelerating Java Virtual
Machine
- Program Trace Macrocell for full visibility of processor
instruction flow

Shared 512-KB, 8-way associative L2 cache, lockable by way, line,


or master
Acceleration coherency port that extends coherent memory
access beyond the CPUs
Generic interrupt controller
32-bit general purpose timer
Watchdog timer
Available in Altera Arria V SoC FPGAs and Cyclone V SoC FPGAs
* Dhrystones 2.1 benchmark

Description
A dual-core ARM Cortex-A9 MPCore applications-class
processor is integrated as a hard intellectual property (IP) component
in Altera's Arria V SoC FPGAs and Cyclone V SoC FPGAs. Optimized
for maximum system performance, the ARM Cortex-A9 processor
leverages best-in-class development tools and operating system
support from the vast array of ARM ecosystem partners.
HPS
The ARM Cortex-A9 processor is combined with a rich set of embedded peripherals, interfaces, and on-chip memories to create a complete hard processor system (HPS). The high-bandwidth on-chip
backbone connecting the HPS and FPGA fabric provides over 100
Gbps peak bandwidth, ideal for sharing data between the ARM
processor and hardware accelerators within the FPGA fabric.

www.arrownac.com

44

Resource Guide Fall/Winter 2012

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 1

FREESCALE

ARM Cortex-A8 and Cortex-A9 Processors

i.MX53 APPLICATIONS PROCESSORS


Description:
i.MX53 Family of Processors
The i.MX53 family of processors represents our next-generation of
advanced multimedia and power efficient implementation of the ARM
Cortex-A8 core with core processing speeds up to 1.2 GHz. It is optimized for both performance and power to meet the demands of highend, advanced applications. Ideal for a broad range of applications in
the consumer, automotive, medical and industrial markets, the i.MX53
includes an integrated display controller, full HD capability, enhanced
graphics and connectivity features. The i.MX53 family also boasts a
companion power management IC (PMIC)MC34708designed
exclusively for i.MX processors.

Industrial Applications
The i.MX537 with core processing speeds up to 800 MHz is optimized
for both performance and power to meet the demands of high-end
advanced industrial and medical applications. Integrated display controller, 1080p HD video decode and 720p video encode, enhanced
graphics and connectivity features make the i.MX537 suitable for a wide
range of applications such as human-machine interfaces (HMI) and
patient monitors which require rich user interfaces with high color displays and user interaction. The i.MX537 provides key environmental differentiators for the industrial market. These include 3.3 volt I/O support,
a 0.8 mm pitch package to reduce PCB and manufacturing costs,
extended temperature coverage for harsh environments, industrial qualification for extended reliability and a formal long product supply guarantee to support product life spans.

Target Applications
Smart mobile devices
Gaming devices
Smart monitors
Auto infotainment
Digital signage
Telehealth
Video-enabled IP phones
HMI for appliances, building control, factory/home automation,
printers and security panels
Patient monitors
Point-of-sale terminals
Surveillance cameras
Security
Barcode scanners

www.arrownac.com

i.MX53 Quick Start Board


The i.MX53 Quick Start Board is a $149 open source development platform. Integrated with an ARM Cortex-A8 1 GHz processor and the
Freescale MC34708 PMIC, the Quick Start Board includes a display controller, hardware-accelerated graphics, 1080p video decode and 720p
encode as well as numerous connectivity options ideally suited for applications such as human machine interface in embedded consumer,
industrial and medical markets.

45

Resource Guide Fall/Winter 2012

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 2

FREESCALE

ARM Cortex-A8 and Cortex-A9 Processors

i.MX6 APPLICATIONS PROCESSORS


Description:
The i.MX6 series unleashes a scalable multicore platform that includes
single-, dual- and quad-core families based on the ARM Cortex-A9
architecture for next-generation consumer, industrial and automotive
applications. By combining the power-efficient processing capabilities
of the ARM Cortex-A9 architecture with bleeding edge 3D and 2D
graphics, as well as high-definition video, the i.MX6 series provides a
new level of multimedia performance to enable an unbounded nextgeneration user experience. Complimenting the industry-leading scalability of the i.MX6 single-, dual- and quad-core offering is the PF series
of power management ICs. Combined with the simplified power requirements of the i.MX6 platforms, the PF series is capable of supplying all
the voltage rails required in numerous applications, significantly reducing the bill of materials and simplifying the system design.

The market for intelligent, multimedia-centric, touch-based devices is


increasing exponentially. Tomorrows battery-powered smart devices,
auto infotainment and aero infotainment systems, medical systems, personal and enterprise class intelligent control and data systems and new
classes of devices never before seen need to present data and user
interface choices to the end user primarily through rich sound, video,
voice, pictures and touch, rather than keyboards and mice. And the need
for manufacturers to quickly provide multiple devices to fit specific market segments or niches and provide their customers with a broader
range of choices is increasing just as quickly.
The i.MX6 series was designed specifically to enable this new market by
bringing together high-performance scalable multimedia processing, a
software-compatible family of five processors and pin-compatible
processor solutions with integrated power management so that a manufacturer can deploy a full portfolio of products with a single hardware
design.

Target Applications
Media tablets
Education tablets
eReaders
Automotive infotainment
Aero infotainment
HMI
Portable medical
IPTV
IP phones
Smart energy systems
Intelligent industrial control systems

Scalable Multicore Solutions


The i.MX6 series reaches a new level of power versus performance by
providing a scalable family of single-, dual- and quad-core processor
families based on the ARM Cortex-A9 architecture. Single- and dualcore designs provide cost-effective performance scalability while the
flagship i.MX6 Quad processor provides more performance at lower
power for the most demanding applications with constrained power
budgets. The pin*- and software-compatible i.MX6 series allows designers to create a broad portfolio of products based on a common platform
while providing compelling performance advantages for systems with
constrained power budgets.
*i.MX 6SoloLite not pin compatible

www.arrownac.com

46

Resource Guide Fall/Winter 2012

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 3

TEXAS INSTRUMENTS

ARM Cortex-A8 and Cortex-A9 Processors

SITARA AM335X ARM CORTEX-A8


MICROPROCESSORS
Description:
New AM3352, AM3354, AM3356, AM3357, AM3358 and AM3359,
Sitara ARM Cortex-A8 microprocessors (MPUs) deliver up to
720 MHz ARM Cortex-A8 performance with robust peripheral set
and flexible power management modes that consume as little as
7mW of power. These MPUs are ideal for a variety of fan-less
portable navigation terminals, handheld gaming devices and home
and building automation control systems as well as industrial
automation devices including drives and I/O-level devices, industrial
PLC applications and HMI products.

AM335x ARM Cortex-A8 MPUs key features include:


275-720 MHz ARM Cortex-A8 core with high-level operating
system support including Linux and Android with multiple
power and performance operating points
Integrated high-bandwidth connectivity peripherals (USB2.0
w/ PHY, Gigabit Ethernet two-port switch, CAN, PRU, Crypto,
LPDDR1/DDR2/DDR3 interfaces) for device flexibility and quick
transmission of data on and off the chip while saving board space
and bill of materials cost by as much as $40
Integrated 3D graphics and touch screen controller for advanced
GUIs and touch screen interfaces
On-chip, production-ready, industrial Ethernet and Fieldbus
communication protocols with master and slave functionality
eliminate the need for an external ASIC/FPGA and save more than
30 percent on BOM costs
TI EZ software development kits for Linux and Android allows
developers to begin evaluation within minutes and includes
development software and example code into a single package
with only one installation
AM335x ARM Cortex-A8 MPU StarterWare software suite
provides a microcontroller-like development environment, without
requiring an operating system

Optimized for system and peripheral integration, the AM335x


Sitara ARM Cortex-A8 MPUs provide multiple high-performance interfaces, such as, USB2.0 w/ PHY, Gigabit Ethernet two-port
switch, CAN, and more. The PRU enables real-time industrial communications capability (master and slave) supporting popular protocols, such as EtherCAT, Ethernet/IP, PROFIBUS, PROFINET, POWERLINK, and SERCOS III.

For details on tools and software, go to:


www.ti.com/lsds/ti/arm/toolsw.page

www.arrownac.com

47

Resource Guide Fall/Winter 2012

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 4

TEXAS INSTRUMENTS

ARM Cortex-A8 and Cortex-A9 Processors

SITARA AM35X ARM CORTEX-A8


MICROPROCESSORS
Description:
The new Sitara family is offering two new ARM Cortex-A8
processor-based devices, the AM3505 and AM3517 microprocessors. The corresponding AM3517 evaluation module supports fast,
reliable product evaluation and prototyping. The AM3505 and
AM3517 microprocessors provide a combination of industrial temperature options, CAN controller, USB PHY, 3.3V I/O and multiple
package options, making it possible for developers to create products that can endure rugged and extreme thermal industrial environments, for applications such as industrial automation, electronic point of service, digital signage, portable data terminals and single board computers.

AM3517/05 key features:


Superscalar 500 MHz ARM Cortex-A8 core provides 1000
Dhrystone million instructions per second (MIPS)
Integrated CAN controller
Sub-one watt power consumption
Connectivity options with a high-speed USB 2.0 on-the-go with
built in PHY to conserve board space and an 10/100 EMAC
Display subsystem with picture-in-picture, color space conversion,
rotation and resizing
AM3517 includes the PowerVR SGX graphics engine which
supports OPENGL ES 2.0.
For details on tools and software, go to:
www.ti.com/lsds/ti/arm/sitara_arm_cortex_a_processor/sitara_arm
_cortex_a8/am35x_arm_cortex_a8/toolsw.page

www.arrownac.com

48

Resource Guide Fall/Winter 2012

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 5

TEXAS INSTRUMENTS

ARM Cortex-A8 and Cortex-A9 Processors

AM37X ARM CORTEX-A8


MICROPROCESSORS
Description:
AM37x devices are ARM Cortex-A8 based microprocessors
supporting up to 1GHz performance for high-performance, low
power devices. This solution offers a 40 percent increase in performance, twice the graphics performance, and a 30 percent
decrease in power consumption over previous generations.
Additionally, device scalability protects software investments and
provides existing customers and developers an easy migration
path for product enhancements through the Sitara and
DaVinci product lines. Rapid product development is possible
with the modular AM37x Evaluation Module, which includes a
Linux software development kit. Support for Windows
Embedded CE and other RTOS solutions are also available.

www.arrownac.com

AM37x (Up to 1GHz) optimized for:


Offer a 40 percent increase in performance, twice the graphics
performance, and a 30 percent decrease in power consumption
over previous generations.
Device scalability protects software investments and provides an
easy migration path through the Sitara and DaVinci product
lines.
Enjoy rapid product development with the modular AM37x
Evaluation Module, which includes a Linux software
development kit.
Support for Windows Embedded CE and other RTOS solutions.
For details on tools and software, go to:
www.ti.com/lsds/ti/arm/sitara_arm_cortex_a_processor/sitara_arm
_cortex_a8/am37x_arm_cortex_a8/toolsw.page

49

Resource Guide Fall/Winter 2012

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 6

ATMEL

ARM9 Microprocessors

ATMEL SAM9G, SAM9X, AND SAM9M


ARM926EJ-S MICROPROCESSORS
Description:
SAM9G
The SAM9G devices build the foundation of the ARM926 corebased Atmel embedded MPU line. Designed to complement the
power of the ARM926 core, these flexible devices deliver a rich combination of peripherals in a highly integrated design. The high bandwidth architecture is based on a multi-layer bus matrix, multiple
DMA channels and External Bus Interface to ensure internal and
external data flows with minimum processor overhead. Integrated
power management techniques preserve the power budget and
reduce BOM cost.

Description:
SAM9X
The ARM926 core-based Atmel SAM9X embedded MPUs are highperformance, highly integrated processors. Running at 400 MHz, they
deliver a rich set of connectivity peripherals, such as dual Ethernet dual
CAN, triple USB ports and seven UARTS. Additional features include an
integrated soft modem, high-speed serial communication, TFT LCD
controller and LPDDR/DDR2 memory support. A multilayer bus matrix
architecture and multiple DMA channels ensure uninterrupted data
transfer with minimum processor intervention. Low voltage, low power
consumption and reduced system cost make the Atmel SAM9X ideal
for cost-sensitive machine-to-machine applications. The processors
are supported by full-featured evaluation kits to enable rapid application development, as well as a worldwide ecosystem of industry-leading suppliers of development tools, operating systems, protocol stacks
and applications.

To ease application development, evaluation boards are available,


delivered with free packages for Android, Microsoft Windows
and Linux. The SAM9G devices are fully supported by a worldwide
ecosystem of industry-leading suppliers of development tools, operating systems, protocol stacks and applications.

Key Features
Extensive Peripherals for Connectivity Peripheral sets may
include dual 10/100 Ethernet, dual CAN, FS/HS USB 2.0 Device
and Host, SD/SDIO/MMC, soft modem, 32-bit timers, USART, SPI,
SSC, TWI, 10-bit ADC.
High-performance Data Speedway Atmel SAM9X MPUs
integrate a processor clock running at 400 MHz and a high data
bandwidth architecture based on a 12-layer bus matrix with up to
22 DMA channels. The External Bus Interface supports distributed
on- and off-chip memory.
Next-generation Memory LPDDR/DDR2 support ensures
supply and cost efficiency. Plus, these MPUs feature MLC NAND
Flash support with 24-bit error code correction.
Low Power and Low System Cost Power consumption is only
300W/MHz at 400MHz operation and 8A in backup mode. 3.3V
IOs eliminate the
need for external
level shifters, while
0.8mm ball pitch
packages reduce
PCB design
complexity and cost.

Key Features
Extensive peripherals for networking/connectivity Includes
10/100 Ethernet, SD/SDIO/MMC, FS USB 2.0 Device and Host,
USART, SPI, SSC, TWI, and a 10-bit ADC.
Optimized Internal Data Bandwidth Integrates a processor
clock running at 180 MHz and a high data bandwidth architecture
based on a 6-layer bus matrix with 24 DMA channels.
Up to 512kB of embedded Flash Enables critical code to be
securely stored on-chip, reducing the need for external memory.
1.8 to 3.3V Operation True 1.8V10% operation extends
device operation for battery-powered devices and other powersensitive applications.

www.arrownac.com

50

Resource Guide Fall/Winter 2012

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 7

ATMEL

ARM9 Microprocessors

ATMEL SAM9G, SAM9X, AND SAM9M


ARM926EJ-S MICROPROCESSORS (CONTINUED)
Description:
SAM9M
The Atmel SAM9M ARM926 core-based embedded MPU integrates an on-chip hardware video decoder and 2D accelerator,
ensuring high-quality video functionality while preserving the full
processing power of the CPU for the application. Additional features
include an embedded TFT LCD controller, resistive touchscreen support, camera interface, audio, high-speed serial communication and
DDR2 memory support. Architectured for maximum performance,
the SAM9M is still power-efficient, with typical consumption levels
below 300W/MHz. The SAM9M includes AES, TDES and SHA hardware encryption, making the device suitable for secured networked
applications and software authentication. For rapid evaluation and
code development, an evaluation kit is available, delivered with free
packages for Android, Microsoft Windows and Linux to enable
rapid application development. Industry-leading third parties supply
a full range of development tools, operating systems, protocol stacks
and applications to minimize time-to-market.
Key Features
Integrated Multimedia Capability Hardware video decoder
with playback of multiple formats (H264, H263, MPEG4, MPEG2,
VC1, JPEG) in resolutions up to WVGA or D1 at 30 fps and 2D
accelerator with scaling, rotation, color conversion and picture-inpicture.
DDR2 Memory Support DDR2 support ensures supply and
cost efficiency. In addition to SDRAM and NOR Flash, with boot
from NAND Flash, SDCard, DataFlash or serial DataFlash, it also
features NAND Flash support.
High-performance Data Speedway Integrates a processor
clock running at 400 MHz and a high data bandwidth architecture
based on a 12-layer bus matrix with 36 DMA channels. A Dual
External Bus Interface supports distributed on- and off-chip
memory.
Low Power and Low System Cost Only 300W/MHz at
400MHz operation and 8A backup mode. 3.3V IOs eliminate
the need for external level shifters while integrated power
management saves the cost of an expensive power management
IC. 0.8mm ball pitch package reduces PCB design complexity
and cost.

www.arrownac.com

51

Resource Guide Fall/Winter 2012

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 8

FREESCALE

ARM9 Microprocessors

i.MX28 APPLICATIONS PROCESSORS


Description:
i.MX28 Family of Multimedia Applications Processors
The i.MX28 family of multimedia applications processors is part of our
ARM9 product portfolio. The i.MX28 family integrates display, power
management and connectivity features unmatched in ARM9 processorbased devices, reducing system cost and complexity for cost-sensitive
applications. With optimized performance and power consumption, the
i.MX28 is an ideal fit for battery-operated or fanless equipment.
Additionally, the LCD controller with touch screen capability makes it
possible to design creative and intuitive user interfaces. The i.MX28
family reaches new levels of integration in ARM9 devices and provides
the enablement needed to help design differentiated industrial, automotive and consumer products in less time.

i.MX28 Key Features


454 MHz ARM926EJ-S core with 16 KB/32 KB I and D cache
PMU to power the device and drive external components supports
Li-Ion batteries and direct connection to 5 volt supplies
Dual IEEE 1588 10/100 Ethernet with RMII support and L2 switch
Dual CAN interfaces
NAND support: SLC/MLC and eMMC 4.4 (managed NAND)
Hardware BCH (up to 20-bit correction)
200 MHz 16-bit DDR2, LV-DDR2, mDDR external memory support
Dual High-Speed USB with PHY
Up to eight general-purpose 12-bit ADC channels and single 2 Msps
ADC channel
Temperature sensor for thermal protection
Multiple connectivity ports (UARTs, SSP, SDIO, SPI, I2C, I2S)
Product family supports various feature sets

Target Applications
Smart appliances
Human-machine interface for appliances, building contol, factory
automation, printers and security panels
Industrial control
Media gateways/accessories
Portable medical
Smart energy gateways/meters
Automotive audio systems
Automotive connectivity modules

Benefits
Industrial-strength integration
Reduces system cost and complexity and provides greater flexibility in
system design
Industry-leading power management eliminates external components
High level of peripheral integration, including display, connectivity,
real-time control, security and networking
Industrial qualification and product longevity
Supports the full life of the product in the field
Part of the Freescale Product Longevity Program15 years

Industrial Needs
Like the rest of the i.MX portfolio, the i.MX28 family provides key environmental differentiators for the industrial market. These include 3.3
volt I/O support, a 0.8 mm pitch package to reduce PCB and manufacturing costs, extended temperature coverage for harsh environments,
industrial qualification for extended reliability, a formal long product
supply guarantee to support product life spans and a strong ecosystem,
including module manufacturers, software integrators and development
tools.

www.arrownac.com

Optimized performance and power consumption


Increased battery life for portable equipment
Improved energy efficiency for wall-powered or fanless systems
Software and Tools
Linux and Windows Embedded CE BSPs
Multimedia codecs: Proven codecs enable faster time-to-market
Complete software solution at no cost

52

Resource Guide Fall/Winter 2012

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 9

Development Tools
www.arrownac.com

53

Resource Guide Fall/Winter 2012

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 10

AAEON

DEVELOPMENT TOOLS

GENE-1350 OMAP 3503/3530 CPU BOARD


CRT/ 24-bit TTL LCD/ 18-bit LVDS LCD
2CH Audio
Onboard NAND Flash, SD, MicroSD
USB2.0 Host x 2, USB2.0 Client x 1, COM x 2, 8-bit Digital I/O
Mini Card x 2, Proprietary Expansion Slot x 1
Onboard 4-wire Resistive Touch Screen Controller
+9V to +24V DC Input
Onboard Battery Charger
Windows CE 6.0/ Linux 2.6.32/ Android 2.2
Less Than 3 Watt Power Consumption
Operating Temperature: W2: -40C ~ 80C, W1: -20C ~ 70C

Description:
TI OMAP 3503/3530 Processor
3D Graphics & Video Accelerator Support with 3530 Processor
Onboard 128/256 MB (Optional) LP DDR RAM
10/100Base-TX Ethernet

ADENEO EMBEDDED

DEVELOPMENT TOOLS

ADENEO ARM BOARD SUPPORT PRODUCTS


Description:
Adeneo Embedded earned a solid reputation for embedded operating
system integration into target platforms. We provide thoroughly tested
high quality BSPs that ease and speed up the development of Windows
Embedded CE 6.0/Compact 7, embedded Linux or Android-based
devices.

Ready-to-Use BSPs for Off-the-Shelf Solutions


We work closely with board manufacturers to deliver production-ready
BSPs for off-the-shelf solutions. These close technology partnerships
allow engineering teams to bring new ideas to market in the most timely and cost-efficient manner. Our customers appreciate the ability to
focus on their market, their end-users and what they do best.

We make available a wide range of reference BSPs based on best-inclass silicon vendor processors. Our BSPs offer excellent integration
with major embedded operating systems including the Windows
Embedded CE Suite (Windows CE 5.0, Windows Embedded CE 6.0
and Windows Embedded Compact 7), Android and embedded
Linux.

www.arrownac.com

54

Resource Guide Fall/Winter 2012

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 11

ADVANTECH

DEVELOPMENT TOOLS

ADVANTECH ARM CORTEX-A8, CORTEX-A9


AND CORTEX-A15 COMPUTING PLATFORMS
Advantech RISC Features
ARM Cortex-A8, Cortex-A9 and the latest Cortex-A15 based
solutions
High performance with low power consumption
Heatsinkless operation
Ultra compact/small footprint system designs
Better reliability
Extended temperature
Multi-integrated functions
Guaranteed long product life cycle
Android, Windows Embedded Compact 7, and Embedded Linux
ready

Description:
Advantechs RISC computing platforms provide system developers and
OEMs with a standardized, ultra compact yet highly integrated computing solution that can be utilized across multiple embedded PC, system
and appliance designs. Advantechs RISC offers system designers a
more compact, flexible and feature-rich solution for a range of device
designs. It can meet the requirements for power-optimized mobile
devices needing to operate with less than 3W; and performance-optimized consumer application requiring 2000 Dhrystone MIPS.
Advantechs RISC also addresses the need for a simple, modular
approach to Industrial PC design, making it easier to bring to market
ultra-compact and lightweight devices that offer comprehensive connectivity options and a rich, flexible feature set.

ALTIA

DEVELOPMENT TOOLS

GUI DEVELOPMENT AND CODE GENERATION


TOOLS FOR EMBEDDED DISPLAYS
Develop Concept:

Altia PhotoProto (Adobe Photoshop add-on)


FlowProto (Microsoft Visio add-on)
Build User Interface: Altia Design
Generate Code:
Altia DeepScreen

Description:
Great user interfaces make the complex simple and so do great user
interface development tools. With Altia's suite of user interface development software, you get a first class embedded display from your artists
imagination to the lowest cost hardware in the shortest amount of time.
Whether you are creating a new product display or giving your current
product a face-lift, Altias user interface engineering tool chain gives you
the capability to create amazing embedded GUIs.

www.arrownac.com

Altia supports a wide range of hardware targets from companies like


Freescale Semiconductor, NXP Semiconductors, Renesas,
STMicroelectronics, Texas Instruments and many more.

55

Resource Guide Fall/Winter 2012

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 12

BCM

DEVELOPMENT TOOLS

ARM CORTEX-A8 PLATFORM FOR


FREESCALE i.MX MCUs
Product Features:
Freescale i.MX515 ARM Cortex-A8 800MHz CPU
Onboard 4 GB MLC NAND Flash
Onboard 512MB DDR2 SDRAM
16~24-bits TTL/ LVDS LCD Up to 1280 x 800
Audio Codec/ 2.2W Ampilifer
10/100Mbps LAN
1 x RS-232, 1 x RS-232/422/485
4 x USB
+12V DC Input
Optional HDMI
Windows CE/Linux/ Android

Description:
ARM Cortex-A8 Platform, Freescale i.MX515 ARM Cortex 800
MHz Processor Onboard, RISC-based form factor, low-power small form
factor.

BOUNDARY DEVICES

DEVELOPMENT TOOLS

NITROGEN6X-SOM, FREESCALE i.MX6


SINGLE/DUAL/QUAD-CORE CPU
The SOM is ideal for customers looking for rapid product development
while maintaining the flexibility of a custom design. The Nitrogen6XSOM has a wide variety of peripheral options including Gigabit Ethernet,
multiple display channels, and PCIe. Design your own carrier board or
contact us for a custom carrier board quote.

Description:
The Nitrogen6X-SOM, is a low cost, highly integrated System-onModule based on the Freescale i.MX6 Single/Dual/Quad-Core CPU.

www.arrownac.com

56

Resource Guide Fall/Winter 2012

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 13

DIGI

DEVELOPMENT TOOLS

CONNECTCORE i.MX53 ARM CORTEX-A8


SYSTEM-ON-MODULES
The network-enabled ConnectCore for i.MX53 is a highly integrated
and future-proof system-on-module (SoM) solution based on the new
Freescale i.MX53 application processor with a high performance 1 GHz
ARM Cortex-A8 core, wired and wireless connectivity, powerful
1080p/720p video encoding/decoding capabilities, dual-CAN bus interface and a complete set of peripherals.

Description:
Build industry-leading products from dedicated network communication
devices to multi-display image/video solutions using Digis high-performance 32-bit ARM ConnectCore family. Connectivity options
include Ethernet, 802.11abg(n) Wi-Fi, Bluetooth, 2G/3G cellular and
802.15.4/ZigBee.

EMCRAFT SYSTEMS

DEVELOPMENT TOOLS

KINETIS K70 SYSTEM-ON-MODULE (SOM)


Key hardware features:
Compact (30 mm x 57 mm) mezzanine module
External interface using two 80-pin 0.4mm-pitch connectors
Compliant with the Restriction of Hazardous Substances (RoHS)
directive
Mounting hole reducing the risk of connector-to-PCB intermittence
120 MHz Kinetis K70 (256 pin MAPBGA)
Powered from single +3.3 V power supply
Low-power mode
Deep-sleep power mode
On-module clocks
64 MBytes LPDRAM
128 MBytes NAND Flash
Serial console interface
802.3 Ethernet interface
Watchdog Timer (WDT)
Real-Time Clock (RTC)
Uncommitted interfaces of K70 available on the interface connectors.

Description:
The K70 SOM is one of a series of Emcraft uClinux-ready ARM
Cortex-M System-On-Module products. This member of the series is
based on the Kinetis K70 microcontroller from Freescale
Semiconductor. It incorporates a 120 MHz Kinetis K70 MCU, as well as
64 MBytes of LPDRAM, 128 MBytes of NAND Flash, and an Ethernet
PHY.
The K70 SOM is specifically designed to make it easy, quick, and costeffective for embedded system designers to start using the Kinetis K70
device and uClinux software in their applications.
The uClinux kernel and applications execute on the powerful 32-bit ARM
Cortex-M4 processor core, while the integrated peripherals of the K70
are used to implement various communication interfaces and protocols.
All Emcraft uClinux-ready System-On-Modules come preloaded with
uClinux and U-Boot. Full source code of the Linux BSP is provided, along
with a Linux cross-development environment.

www.arrownac.com

57

Resource Guide Fall/Winter 2012

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 14

IAR SYSTEMS

DEVELOPMENT TOOLS

IAR EMBEDDED WORKBENCH FOR ARM


I-jet is a slim JTAG and SWD hardware debug probe; it connects via USB to the PC
host running Windows. I-jet integrates seamlessly into IAR Embedded Workbench
and is fully plug-and-play compatible. Refine power measurements with new highperforming in-circuit debugging probe I-jet.
Extensive Multi-Core Support and ETM Trace support via Signum (an IAR Systems
company) JTAGjet-ARM and Cortex and Chameleon. JTAGjet is an advanced,
real-time, in-circuit debugger for high-end applications development. It is based
on the JTAG boundary scan port, and integrates with IAR Embedded Workbench
ARM IDE.
RTOS-aware debugging with built-in or 3rd-party plug-ins for Micrium, Express
Logic, Quadros Systems, MicroDigital, Enea and others
Ready-made peripheral register definition files for devices from Actel, Analog
Devices, Atmel, Cirrus Logic, Epson, Faraday, Freescale, Hilscher, Intel, Luminary,
Marvell, Micronas, NetSilicon, Nuvoton, NXP, OKI, Samsung, Sharp, STMicroelectronics, Texas Instruments and Toshiba, etc.
Flash loaders and over 3100 project examples included for most popular devices
and evaluation boards
Tight integration with
- IAR visualSTATE (state machine design and verification tools)

Description:
IAR Embedded Workbench with its optimizing C/C++ compiler is an integrated
development environment for building and debugging ARM processor-based
embedded applications. It provides extensive support for a wide range of ARM
devices, hardware debug systems and RTOSs.
IAR Embedded Workbench for ARM provides extensive support for a wide range of
ARM devices, hardware debug systems and RTOSs, and generates very compact
and efficient code. Ready-made device configuration files, flash loaders and over
3100 example projects are included. IAR Embedded Workbench is compatible with
other ARM EABI compliant compilers and supports the following ARM cores:
Cortex-A15, Cortex-A9, Cortex-A8, Cortex-A7, Cortex-A5, Cortex-R7, Cortex-R5,
Cortex-R4(F), Cortex-M4(F), Cortex-M3, Cortex-M1, Cortex-M0+, Cortex-M0,
ARM11, ARM9E (ARM926EJ-S, ARM946E-S and ARM966E-S,
ARM968E-S), ARM9, (ARM9TDMI, ARM920T, ARM922T and
ARM940T), ARM7 (ARM7TDMI, ARM7TDMI-S and ARM720T), ARM7EJS, SecurCore (SC000, SC100, SC110, SC200, SC210, SC300)
and XScale.
Key features:
Fully integrated development environment for building and debugging
embedded applications
ARM EABI 2.0 and CMSIS compliance
Advanced optimization technology generating the most compact and efficient
code
Automatic checking of MISRA C (2004) rules for safety-critical systems
Support for ARM, Thumb1 and Thumb-2 processor modes and VFP
co-processors

www.arrownac.com

58

Resource Guide Fall/Winter 2012

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 15

KEIL

DEVELOPMENT TOOLS

ARM MICROCONTROLLER DEVELOPMENT KIT


(MDK)
Key Features
Out of the box support for more than 900 devices enables faster
application development start.
Industry-leading ARM C/C++ Compiler.
Powerful Vision4 IDE, debugger, and simulation environment.
Advanced analysis tools enable developers to quickly identify
bottlenecks and defects within their code.
RTX fully-featured RTOS including source code.
Comprehensive middleware libraries allow easy implementation of
complex networking and communication systems, and protocols.
Support for a wide range of debug adapters, including the ULINKpro
which supports on-the-fly application analysis and unique streaming
trace.

Description:
Keil MDK-ARM is the complete software development environment
for ARM Cortex-M, ARM7, and ARM9 processor-based devices.
MDK is optimized for MCU applications and combines the ARM C/C++
Compiler, the Vision IDE/Debugger, the Keil RTX real-time operating
system, and middleware libraries. It delivers a single, powerful, yet easy-to-use
environment, for software developers.

KONTRON

DEVELOPMENT TOOLS

KONTRON PICO-ITX EMBEDDED


MOTHERBOARD
With a maximum power consumption of only 3 watts, the new embedded motherboard enables fanless designs with an unprecedented performance per watt ratio and rich graphics capabilities for cost-sensitive
SFF applications.

Description:
With its standard-based form factor and readily available environment
of housings and cooling solutions, the new Kontron Pico-ITX embedded motherboard KTT20/pITX is the fastest route for OEMs to take to
bring ARM technology into their designs.

With Kontron's comprehensive software support, including board support packages for all relevant OS, OEMs can create new applications or
scale their applications across all processor platforms with minimal
efforts. Especially graphics-intensive applications that have to provide a
rich user experience for internet, multimedia content delivery and
sophisticated human machine interfaces benefit from the long-term
availability of the Kontron KTT20/pITX. Target applications include, for
example, set-top boxes for hotels, compact indoor and outdoor digital
signage players for stationary or in-vehicle use, POS/POI systems and
mobile medical monitors/medical carts plus compact Panel-PCs and
thin clients.

www.arrownac.com

59

Resource Guide Fall/Winter 2012

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 16

LOGIC PD

DEVELOPMENT TOOLS

DM3730/AM3703 TORPEDO + WIRELESS SOM


ideal off-the-shelf solution for applications where network connectivity
is required and space is a premium. Applications include unmanned systems, remote monitoring and communication systems, handheld communication devices, point-of-care medical products, and more.

Description:
Logic PDs DM3730 / AM3703 Torpedo + Wireless System on Module
(SOM) is designed around the ARM Cortex-A8 processor-based
Texas Instruments (TI) DaVinci DM3730 and Sitara AM3703
processors, and TIs WiLink 7.0 wireless solution. This SOM encapsulates the most complex design components on a dime-sized board
while offering high performance and the lowest power consumption
available today.

Features:
Smaller than one square inch
Speeds up to 1 GHz
256 MB DDR, 512 MB NAND flash
802.11a/b/g/n, Bluetooth, and GPS signals natively integrated with
Logic PD BSPs
FCC certified
Fully featured development kit with Android and Linux BSPs
available
Typical sub one watt power consumption extends battery life
Pin compatibility with past and future Logic PD Torpedo SOMs
provides upgrade paths and long life cycle support

Using a SOM provides significant savings in time and money by reducing design risk. The DM3730 / AM3703 Torpedo + Wireless SOM is the

MICRIUM

DEVELOPMENT TOOLS

REAL-TIME OPERATING SYSTEMS TO MEET


THE MOST RIGOROUS STANDARDS
patible file system (C/FS), a Modbus communications stack
(C/Modbus), a CAN and CANopen stacks (C/CAN and C/CANopen)
and more.

Description:
Whether your embedded project involves fairly simple RTOS requirements or must be compliant with the most rigorous of standards,
Micrium has an RTOS to do the job. Micriums stringent development
process which includes strict coding standards, code reviews, and clear
and concise documentation is at the foundation of a Validation Suite
for C/OS-II that provides the documentation necessary to meet safetycritical standards and requirements in military, aerospace, medical,
nuclear, transportation, and automotive applications. While C/OS-II is
ideal for such applications, Micrium's newest RTOS, C/OS-III is updated to meet the evolving needs of the embedded developer. Designed to
save precious design time, C/OS-III includes such features as roundrobin scheduling, near zero interrupt disable time, unlimited number of
application tasks, run-time statistics, error checking and more. C/OSIII offers a choice so that you get exactly the features and benefits that
you and your design requires.

Micrium also offers a low-cost, easy to use and highly useful Windowsbased data visualization tool called C/Probe.C/Probe allows developers to display and change, at run-time, just about any application
variable. Variables can be displayed using gauges, meters, graphs,
numeric indicators, bitmaps and more. Variables can be changed using
sliders, button switches and more.C/Probe works with just about ANY
target processor from 8 to 64 bits as well as DSPs.C/Probe accesses
target variables either through JTAG, RS-232C, TCP/IP and soon,
USB.C/Probe is a natural fit for Cortex-M as well as RX processors and
a Segger J-Link interface since variables can be accessed without
requiring any code in the target.

Micrium also offers a number of high quality RTOS services such as a


TCP/IP stack (C/TCP-IP), a USB Host stack (C/USB-Host), a USB device
stack (C/USB-Device), a Graphical User Interface (C/GUI), a FAT com-

www.arrownac.com

60

Resource Guide Fall/Winter 2012

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 17

MICROSOFT

DEVELOPMENT TOOLS

WINDOWS EMBEDDED COMPACT 7


(FORMERLY CE) BY MICROSOFT
Windows Embedded Automotive 7
Windows Embedded Automotive 7 - based on the newest generation of
Windows Embedded Compact 7 and combining Windows Automotive
5.5 and Microsoft Auto 4.1 platforms - is an open software platform
that provides car manufacturers, suppliers and developers with the tools
for developing state-of-the-art, in-vehicle infotainment systems. It offers
a standardized platform for building communication, entertainment, and
service-enabled location-based solutions.

Description:
Windows Embedded offers a comprehensive suite of operating systems and tools that can help device manufacturers reduce time to
market and improve connected device performance. Windows
Embedded technology powers embedded systems that enable organizations to connect to IT infrastructure, increase staff efficiency, and
improve customer satisfaction.
Windows Embedded Compact 7 (Formerly CE)
Windows Embedded Compact 7 is the next generation of Windows
Embedded CE. Device manufacturers can use Microsofts familiar tools
to build the next generation of embedded devices with attractive, intuitive user interfaces, real browsing using Internet Explorer with Flash
10.1, and connections to peripherals, Windows PCs, servers, and networks, with image sizes as small as 500k.

MOTOMIC

DEVELOPMENT TOOLS

QT DEVELOPMENT ENVIRONMENT FOR


FREESCALES KINETIS MCUs
Motomic also lets you add media to MQX, for example advertisements
or instruction videos. You can add social networking, games and browser functionality to your applications and products. Motomic helps you
distribute your Qt application across networks.

Description:
For the first timea robust, commercial development environment for
Freescale's Kinetis K Series microcontrollers and MQX RTOS.
Motomic brings Nokia's Qt development environment to Kinetis and
MQX.
MQX lets you operate with significantly power consumption than Linux.
You can control real-time events. By adding Qt to MQX, you can:
develop Qt-based applications for MQX,
begin with the latest prebuilt, prevalidated, preintegrated version
of Qt,
deploy Qt applications to your embedded devices automatically,
leverage hardware optimizations and
future-proof your hardware platforms.

www.arrownac.com

61

Resource Guide Fall/Winter 2012

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 18

NEXCOM

DEVELOPMENT TOOLS

PDSB 102 ARM CORTEX-A8 ENTRY-LEVEL


DIGITAL SIGNAGE PLAYER
PDSB 102 is enclosed in a compact chassis and can be easily integrated into display devices, such as LCD TV or PDP at site installation with
dual HDMI display output, Giga LAN and WLAN support. PDSB 102 is
capable to layout display into multiple rectangle zones and play rich
multi-media contents on each zone in accordance with user defined
schedule table. This makes the PDSB 102 work perfect for increasing
digital signage applications within retail outlets, department store,
entertainment venues, restaurants, hotels, bus/train station, schools/
universities and hospitals for dynamic message delivering, digital
menu board, advertising, or brand promotion.

Description:
PDSB 102 is an ARM Cortex-A8 RISC MPU based entry-level digital
signage player pre-loaded with user-friendly digital signage software,
the PowerDigiS, targeting for advanced digital signage applications.

NOVTECH INC

DEVELOPMENT TOOLS

NOVPEK i.MX6Q/D SYSTEM


On-board debug ports: JTAG and 16-bit ETM
Bootable with terminal support
- RS232 and TTL interfaces, only uses two i.MX6Q/D pins
All i.MX6Q/D boot options
Simplified firmware/software development through 10/100 Ethernet
port
- SPI-based, doesnt consume the built-in FEC
USB HOST port and USBOTG port that can be forced to HOST mode
HDMI video out port
SATA interface
LVDS interface
PCI Express
Mini PCIe with SIM slot
MIPI/SDI interface
Highly integrated NovTech PM solution
- Multiple power-on events
- Reprogrammable for configurability

Description:
Includes NOVPEK i.MX6Q/D Module
201 easily accessible IOMUX pins
- Arranged in 32x2 100mil pin headers
Advanced Power Management (PM) development support via Add-on
Card, various PM options available
- Multiple voltage settings for each peripheral voltage rail
- Accurate power consumption analysis framework for all 35 voltage
rails on the i.MX6Q/D

www.arrownac.com

62

Resource Guide Fall/Winter 2012

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 19

QNX

DEVELOPMENT TOOLS

QNX REAL-TIME OPERATING SYSTEMS FOR


ARM CORE-BASED MCUs
QNX Neutrino RTOS Secure Kernel
Our secure kernel is the worlds first full-featured RTOS certified to
Common Criteria ISO/IEC 15408 Evaluation Assurance Level (EAL) 4+.

Description:
QNX products are designed for embedded systems running on ARM
platforms, and a host of boards implemented in virtually every type of
embedded environment.

QNX Neutrino RTOS Safe Kernel


The QNX Neutrino RTOS Safe Kernel is certified to IEC 61508 Safety
Integrity Level 3, or SIL 3, and offers a very high level of reliability and
risk reduction when used in safety-critical systems.

QNX Neutrino RTOS


The QNX Neutrino RTOS is the latest incarnation of the QNX real-time
operating system, which has been powering mission-critical applications across the globe for three decades.

QNX RTOS v4
QNX RTOS v4 is the predecessor to the QNX Neutrino RTOS. It is still
deployed and maintained in realtime and mission-critical projects
around the world.

QNX Neutrino RTOS for medical devices


The QNX Neutrino RTOS for medical devices complies with the IEC
62304 standard and is designed to help reduce the effort of building
safety-critical products that must pass regulatory approval.

QNX Neutrino RTOS Certified Plus


QNX Neutrino RTOS Certified Plus is the first real-time operating system that provides a dual-certification credential to satisfy functional
safety and OS security requirements simultaneously.

TIMESYS

DEVELOPMENT TOOLS

TIMESYS LINUXLINK FOR ARM CORE-BASED


SOLUTIONS
LinuxLink combines high ease of use with advanced customization and
integration capabilities to meet the entire spectrum of your product
development needs including unmetered, responsive support when
you need a helping hand.

Description:
LinuxLink has been used in large and small companies alike in more
than 1,000 projects to reduce the time, cost and risk associated with
building commercial quality products using open source Linux.

Timesys LinuxLink is based on an innovative Factory platform that


uniquely combines web and desktop environments to deliver a seamless
and easy-to-use development workflow.

www.arrownac.com

63

Resource Guide Fall/Winter 2012

ARG/2012/Fall/WInter-Pg45-64_ResourceGuide2012-Fall/Winter 9/18/12 9:43 AM Page 20

ARG/2012/Fall/Winter-FC/IFC/IBC/BC_ResourceGuide2112-Fall-Winter 9/18/12 9:38 AM Page 3

ARG/2012/Fall/Winter-FC/IFC/IBC/BC_ResourceGuide2112-Fall-Winter 9/18/12 9:38 AM Page 4

You might also like