You are on page 1of 6

W rubryce Analog Center prezentujemy skrtowe opisy urzdze charakteryzujcych si interesujcymi, czsto

wrcz odkrywczymi, rozwizaniami ukadowymi. Przypominamy take cieszce si najwikszym powodzeniem, proste
opracowania pochodzce z redakcyjnego laboratorium.
Do nadsyania opisw niebanalnych rozwiza (take wyszukanych w Internecie) zachcamy take Czytelnikw.
Za opracowania oryginalne wypacamy honorarium w wysokoci 300 z brutto, za opublikowane w EP informacje
o interesujcych projektach z Internetu honorarium wynosi 150 z brutto. Opisy, propozycje i sugestie prosimy przesya
na adres: analog@ep.com.pl.

Niebanalny LED asher


Popularny ukad bezindukcyjnej
przetwornicy ICL7660 potra wykaza si przydatnoci rwnie w zastosowaniach nietypowych, np. do
impulsowego zasilania LED-w z niskonapiciowego rda zasilania.
W tej roli 7660 dziaa na zasadzie
podobnej do specjalizowanego ukadu LM3909, jest jednak od niego
taszy i atwiej dostpny.
Napicie przewodzenia diody
LED zaley od barwy emitowanego wiata i mieci si w przedziale
od 1,6 V (czerwone) do ponad 3 V
(niebieskie). Std wniosek, e do
zasilania diody wieccej z niskonapiciowego rda, jak np. z jednego ogniwa alkalicznego 1,5 V, jest
potrzebna przetwornica podwyszajca, np. w ukadzie podwajacza
adunkowego. Schemat elektryczny
ukadu przedstawiono na rys. 1,
a na rys. 2 pokazano zasad dziaania przetwornicy pojemnociowej.
W pierwszej fazie pracy (rys. 2a),
przy zwartych kluczach S1 i S3, nastpuje adowanie kondensatora C1
do napicia bliskiego napiciu zasilania. W fazie drugiej (rys. 2b) po

Rys. 1. Schemat elektryczny niskonapiciowego migacza LED

Tab. 1. Optymalny przedzia napi


zasilania w zalenoci od barwy
diody LED
Kolor wiecenia
LED
czerwony
ty
zielony
niebieski
biay

Wykrywacz
przewodw
pod napiciem

Napicie zasilania [V]


1,25...1,7
1,4...2,0
1,4...2,0
2,2...3,0
2,2...3,0

zaczeniu kluczy S2 i S4 nastpuje


szeregowe poczenie C1 ze rdem
zasilania i impulsowe rozadowanie
zgromadzonego adunku przez diod D1. Cakowita wielko adunku
przypadajca na jeden bysk zaley
od pojemnoci C1, natomiast maksymalny prd rozadowania jest limitowany przez wewntrzne rezystancje zaczonych kluczy. Prbny
ukad migacza dziaa poprawnie
z C1 o wartociach zarwno 47 mF
jak i 220 mF. Kondensator C2 decyduje o czstotliwoci oscylacji wewntrznego generatora 7660 tutaj
znacznie mniejszej od standardowej
i wynoszcej tutaj 1 Hz. Czerwony
LED D2 peni nietypow funkcj
fotodetektora blokujcego dziaanie
migacza w czasie dnia. Dugofalowa
granica czuoci LED-a w roli fotodiody zaley od szerokoci przerwy
zabronionej pprzewodnika, a tym
samym od nominalnej barwy wiecenia. Zastpienie D2 diod IRED
przesuwa zakres czuoci do bliskiej podczerwieni. Tak zmodykowany migacz moe suy np. do

Przy pomocy
tego urzdzenia
mona atwo
wykry przewody pod napiciem. Przyrzd
moe by uywany do lokalizacji przewodw
w cianach i
przerw w przewodach. Byskajca LED sygnalizuje obecno
napicia. Czstotliwo byskw informuje
o odlegoci od
przewodu. Jeeli podana
jest sygnalizacja
dwikowa, to
pytka drukowana posiada rw- Rys. 1. Schemat eleknie miejsce na tryczny wykrywacza przebrzczyk.
wodw pod napiciem
Waciwoci:
wykrywanie przewodw sieciowych
sygnalizacja LED (opcjonalnie buzer)
regulowana odlego wykrywania: max
10 cm
zasilanie bateria 9 V (6F22)
wymiary pytki: 56 x 64 cm

Rys. 2. Podwajacz adunkowy zasada dziaania: faza 1 adowanie kondensatora C1 a), faza 2 wczenie LED-a zasilanego z podwyszonego
napicia b)

Elektronika Praktyczna 7/2005

Dodatkowe informacje:
Bardziej szczegowy opis tego projektu
mona znale pod nazw K7101 (Velleman)
na stronie: http://www.sklep.avt.com.pl

37

kontroli dziaania pilotw. Wykrycie


emisji podczerwieni z pilota powinno chwilowo wstrzyma miganie
diody D1.
Dla kadej barwy LED-a i odpowiadajcego jej napicia przewodzenia U F istnieje pewien optymalny
przedzia napi zasilania. Przy zbyt
niskim napiciu, jego podwojona
warto jest niewystarczjca do wysterowania danej diody wieccej.
Przy zbyt wysokim napiciu diody
zaczynaj wieci cigle, na skutek przepywu prdu jak uboczn
drog, nieuwzgldnion na rys. 2.
W tab. 1 zestawiono wyniki prb
przeprowadzonych z diodami o rnych barwach. Wynika z nich, e
diody czerwone nadaj si najlepiej
do zasilania z jednego ogniwa alkalicznego 1,5 V, natomiast diody niebiskie i biae do zasilania z dwch
ogniw poczonych szeregowo.
Marek Dzwonnik, EP
marek.dzwonnik@ep.com.pl
Pomys ukadu zaczerpnito ze strony:
http://www.armory.com/~rstevew/Public/
LED_Ccts/andre_ash3.gif

Optoizolator
magistrali I2C
Wprawdzie I2C jest hasem nalecym zdecydowanie do techniki
cyfrowej, jednak biorc pod uwag
analogowe zadanie speniane przez
ukad optoizolacji, polegajce na
ochronie przez zakceniami, moemy
przyzna mu prawo do pojawienia
si w rubryce Analog Center. Schemat prezentowanego ukadu pochodzi
ze strony http://www.esacademy.com/
faq/i2c (rys. 1).
W stanie spoczynku linie I2C
przyjmuj poziom wysoki wymuszony przez rezystory podcigajce. Wysterowanie linii do aktywnego stanu
niskiego nastpuje w wyniku zwarcia
linii sygnaowych do masy, przez klucze tranzystorowe zawarte w ukadach
wsppracujcych z magistral. Optoizolator musi zatem wykrywa fakt
wymuszenia stanu niskiego po jednej
stronie bariery i przekazywa go na
drug stron. Z zasady dziaania I2C
wynika jednak, e mechanizm ten
musi dziaa w obu kierunkach. Caa
sztuka polega na takim zaprojektowaniu ukadu, aby stan aktywny przekazany ze strony A na B nie wrci w

38

Radar do bezpiecznego
parkowania samochodu

Jeeli masz kopoty z zaparkowaniem samochodu, to jest to ukad


dla Ciebie. Prezentowane urzdzenie
do pomiaru odlegoci wykorzystuje
fale ultradwikowe. Dwuczciowa
konstrukcja umoliwia wyprowadzenie i zamocowanie czujnikw np. w
tylnym zderzaku pojazdu. Po przekroczeniu bezpiecznego dystansu midzy
zderzakiem a przeszkod za samochodem zostanie wczony ostrzegawczy
sygna akustyczny. Pobr prdu przez
ukad jest bardzo may, co pozwala
na jego rwnolege doczenie do
zasilania lampki biegu wstecznego
w samochodzie. Dziki temu ukad
bdzie automatycznie wczany tylko
podczas jazdy do tyu.
Dodatkowe informacje:
Bardziej szczegowy opis tego projektu
mona znale pod nazw K3502 (Velleman)
na stronie: http://www.sklep.avt.com.pl

Rys. 2.

Waciwoci:
zasig czujnikw: 5 cm...1,5 m
(regulowany)
czstotliwo fali nonej: 40 kHz
czstotliwo pomiarw odlegoci:
26 raz/sek
zasilanie: 10...15 VDC/max. 16 mA

Rys. 1. Schemat elektryczny radaru do bezpiecznego parkowania samochodu

Elektronika Praktyczna 7/2005

Ogranicznik
przepi
na wyjciu
zasilacza z
LM317

Rys. 1. Ukad optoizolatora magistali I2C (jedna linia)

postaci dodatniego sprzenia zwrotnego z powrotem na stron A, prowadzc do wystpienia blokady.


Wymuszenie stanu niskiego na
wejciu A powoduje wysterowanie
tranzystora Q1a i diody IrED transoptora U1, wysterowanie Q2b i zgodnie z oczekiwaniami, przekazanie
tego stanu przez R3b na lini I2C
po stronie B. Jednoczenie wysterowanie tranzystora Q2b wycza zasilanie diody IRED transoptora U2,
a tym samym uniemoliwia zwrotne
przekazanie sygnau na stron A i
zatrzanicie ukadu w tym stanie na
stae. Ukad jest w peni symetryczny,
zatem transmisja w kierunku z B do
A odbywa si na tej samej zasadzie.
Zwrmy uwag, e R3(a,b) peni

podwjn rol w stanie spoczynku


podcigaj linie magistrali do poziomu H, a w stanie aktywnym przekazuj na ni poziom L z kolektorw
Q2(a,b). Ze wzgldu na takie sabe
wymuszanie poziomu niskiego, poza
optoizolatorem nie mona docza do
magistrali adnych innych rezystorw
podcigajcych. W oryginalnym ukadzie zastosowano transoptory 6N139 z
tranzystorami Darlingtona o wysokim
wspczynniku przenoszenia (CTR)
jednak stosunkowo powolne, dlatego
czstotliwo taktowania sprzgnitych
magistral I2C nie powinna przekracza 10 kHz.
Marek Dzwonnik, EP
marek.dzwonnik@ep.com.pl

Procesor dwiku z ukadem


LM1036
Modu procesora dwiku,
w ktrym wykorzystano ukad
scalony LM1036.
Dziki zaawansowanej technologii
zapewnia bardzo
niski poziom szumw w ukadzie
audio. Ukad ten
mona poleci
jako cz systemu audio do niezalenej regulacji wzmocnienia, balansu, tonw wysokich i niskich.
W prezentowanym module obrbce
podlega sygna analogowy, jednak

Elektronika Praktyczna 7/2005

regulacja parametrw odbywa si


na drodze cyfrowej. Regulacja gonoci w kadym z kanaw jest
dokonywana jednoczenie w dwch
stopniach: jednym na pocztku toru

Popularny stabilizator LM317 jest


jednym z tych ukadw scalonych,
ktre mona miao zaliczy do kategorii niemiertelnych. Przemylana
konstrukcja, nieza jako stabilizacji
i bardzo prosty schemat aplikacyjny
sprawiaj, e jest chtnie stosowany
m.in. w prostych, regulowanych zasilaczach laboratoryjnych.
Z takim zastosowaniem wie si
jednak pewne ryzyko. W typowym
ukadzie (rys. 1) napicie wyjciowe
jest proporcjonalne do zmiennej rezystancji P1 w dolnej gazi dzielnika.
W miar zuywania si intensywnie
eksploatowanego potencjometru mog
wystpowa krtkotrwae przerwy w
styku lizgacza ze ciek oporow.
Kada przerwa, rwnowana w istocie ustawieniu maksymalnej wartoci
rezystancji, powoduje wystapienie na
wyjciu stabilizatora, skoku napicia
sigajcego grnej wartoci zakresu
roboczego. Dla ukadw zasilanych
z trzeszczcego zasilacza, takie
szpilki o amplitudzie znacznie przekraczajcej ich nominalne napicie
zasilania mog okaza si zabjcze.
Do ograniczenia przepi moe
posuy prosty ukad ograniczajcy maksymaln szybko narastania
napicia wyjciowego (rys. 1 fragment schematu objty przerywan
ramk). LM317 stabilizuje napicie
U wy, dc do utrzymania staego
spadku napicia na rezystorze R1,
rwnego w przyblieniu napiciu
wewntrznego rda referencyjnego
U ref=1,25 V. Tym samym napicie
wyjciowe Uwy powtarza, ze staym
przesuniciem Uref, potencja wza
Adj (Uadj). W stanie ustalonym napicie na kondensatorze C4 z du sta czasow ledzi potencja Uadj. Dopki chwilowe zmiany napicia Uadj
wynikajce np. z szybkiego krcenia potencjometrem - nie wykraczaj
poza przedzia -0,6...+1,2 V, dopty
ukad ogranicznika nie ingeruje w
prac stabilizatora. Szybki przyrost
napicia U_adj, przekraczajcy warto UF(D3)+UBE(Q1)~=1,2 V, powoduje wysterowanie tranzystora Q1 i
dodatkowe obcienie wza Adj do

39

Rys. 1. Ukad stabilizatora z ogranicznikiem szybkoci narastania napicia


wyjciowego

Rys. 1. Schemat elektryczny procesora dwiku z ukadem LM1036

i drugim, zwizanym take z regulacja balansu, umieszczonym na


kocu toru. Jest to istotne, poniewa redukcja wzmocnienia powoduje jednoczesne zmniejszenie szumw wasnych ukadu scalonego.
Przy napiciu zasilania 12 V i maksymalnym wzmocnieniu, napicie
wejciowe powinno zawiera si
w przedziale 0,3...0,7 Vrms, wtedy
znieksztacenia nie bd przekraczay 0,1%.
Dodatkowe informacje:
Bardziej szczegowy opis tego projektu mona
znale pod nazw AVT-244 na stronie:
http://www.sklep.avt.com.pl

Waciwoci:
Zakres napi zasilania: 9...16 V
Maksymalne napicie wyjciowe
(Uzas=12 V): 1 Vrms
Maksymalne napicie wejciowe
(Uzas=12 V): min. 1,3 Vrms
Rezystancja wejciowa: typ. 30 kV,
min. 20 kV
Rezystancja wyjciowa: typ 20 V
Zakres regulacji wzmocnienia:
-75...0 dB
Znieksztacenia nieliniowe
(UI=0,3 Vrms): typ. 0,06%
Separacja kanaw: typ. 75 dB
Stosunek sygna/szum (UI=0,3 Vrms):
typ. 79...80 dB
Szumy wasne przy minimalnym
wzmocnieniu: typ. 10 mV

masy. Szybko narastania napicia


wyjciowego zaley od ograniczonej
szybkoci adowania kondensatora
C4, zasilanego niemal staym prdem
przez rezystor R2 spolaryzowany
napiciem ~1,2 V. Przy wartociach
elementw podanych na schemacie,
nachylenie krzywej adowania C4
wynosi ok. 4 V/s, czyli wystarczajco wolno, eby zniwelowa skutki krtkich trzaskw potencjometru,
a zarazem wystarczajco szybko aby
nie utrudnia rcznej manipulacji.
Tranzystor Q2 umoliwia szybkie
rozadowanie C4 podczas obniania napicia wyjciowego. LED D2
wraz z tranzystorem Q3 wykrywajcym fakt obcienia wza Adj suy
do sygnalizacji stanu nieustalonego
nastpujcego po szybkiej zmianie
pooenia potencjometru. Zganicie
LED-a oznacza, e powoli narastajce napicie wyjciowe osigno zadan warto.
Marek Dzwonnik, EP
marek.dzwonnik@ep.com.pl

Prosty detektor zblieniowy na RS232


Czy znacie uczucie towarzyszce elektronikowi gdy uda mu si
wcieli w ycie pomys, o ktrym
moe rzec z przekonaniem: genialny w swej prostocie? Takiego odczucia dozna zapewne autor
przedstawionego poniej detektora
zblieniowego (EDN, Design Ideas,
06.11.1997, http://www.edn.com/archives/1997/110697/23di_04.htm) zaprojektowanego jako narzdzie wspomagajce prowadzenie eksperymentw
biologicznych. W badaniach tych
ledzono zwyczaje maych zwierzt laboratoryjnych objawiajce si
m.in. okresami wzmoonej aktywnoci i skonnoci do gromadzenia
si w wybranych obszarach klatki.
Obserwacje musiay obejmowa pene 24 h na dob, zatem trudno je

40

sobie wyobrazi bez automatyzacji


gromadzenia danych. W klatce zamontowano izolowan, pytkow
elektrod suc jako czujnik o
zmiennej pojemnoci wzgldem otoczenia (Cx), zalenej od obecnoci
badanych zwierzt. Do wykrywania zmian tej pojemnoci posuy
ukad przedstawiony w nieznacznie
zmodykowanej postaci na rys. 1.
Inwertery U1D/E/F tworz generator sygnau prostoktnego o czstotliwoci ok. 200 kHz. Kada zmiana
stanu na wyjciu generatora powoduje przepyw adunku przeadowujcego pojemno pytkowego czujnika.
Cakowity prd pyncy przez czujnik jest proporcjonalny do czstotliwoci drga generatora, amplitudy
sygnau ustawionej potencjometrem

P1, a przede wszystkim do pojemnoci Cx. Prd ten, po wyprostowaniu


na jednopowkowym detektorze zoonym z elementw D1 i Q1, steruje
generatorem zoonym z inwerterw
U1A,B,C i penicym rol przetwornika prd-czstotliwo. W stanie spoczynkowym na wyjciu U1B panuje
stan niski. Prd dostarczany przez
kolektor Q1 aduje pojemno C4 a
do momentu przerzutu wyznaczonego przez napicie progowe bramki
U1C. W konsekwencji na wyjciu
U1B pojawia si stan wysoki trwajcy do momentu rozadowania C4
przez bramk U1A. Impuls wyjciowy, o czasie trwania zalenym od
staej czasowej R4C4 i wynoszcym
ok 400 ms, jest interpretowany przez
odbiornik RS232 jako prawidowy bit

Elektronika Praktyczna 7/2005

Rys. 1. Detektor zblieniowy na RS232 schemat ideowy

startu. Odbierane znaki maj wprawdzie przypadkow warto, jednak


ich liczba w jednostce czasu jest
wprost zalena od pojemnoci czujnika Cx. Potencjometr P1 suy do
ustawienia spoczynkowej czstotliwoci wysyanych bajtw, a tym samym czuoci detektora. Wg opisu
podanego w magazynie EDN w oryginalnym ukadzie osignito czuo
detekcji na poziomie 10 Hz/pF. Detektor charakteryzuje si minimalnym

poborem mocy umoliwiajcym zasilanie go prdem pobieranym z linii


sygnaowych RS232. Zaptlenie linii
sterujcych cza szeregowego umoliwia ustawienie programu terminala w tryb sprztowego sterowania
przepywem (2400,N,8,1, handshake:
sprztowy), niezbdny do ustawienia
ich w stanie gwarantujcym poprawne zasilanie.
Przeprowadzone prby potwierdziy niez czuo detektora, wy-

ranie reagujcego na ruch doni w odlegoci kilkunastu cm od


elektrody o rednicy 6 cm. Ukad
testowy (PCB zamieszczamy na CD-EP7/2005B) wykonano z uyciem
elementw SMD, w tym kondensatorw ceramicznych MLCC o stosunkowo duych wspczynnikach
temperaturowych. Dotyczy to przede
wszystkim C4 z dielektrykiem X7R.
Poniewa warto C4 bezporednio
decyduje o wspczynniku przetwarzania, zatem w zastosowaniach
praktycznych nastawionych na wykrywanie powolnych i niewielkich
wzgldnych zmian pojemnoci czujnika Cx, jako C4 naley zastosowa
kondensator o jak najmniejszej zaleznoci od temperatury. Cao mieci si na niewielkiej, jednostronnej
pytce drukowanej (1) zmontowanej
w ten sposb, e elementy SMD
znajduj si po stronie druku (Top
Layer), a wszystkie elementy przewlekane po przeciwnej stronie laminatu (BottomLayer).
Marek Dzwonnik, EP
marek.dzwonnik@ep.com.pl

Uniwibratory RC w ukadach CPLD z rodziny


MAX3000 rmy Altera
W projektach wielu urzdze cyfrowych czsto wystpuje problem
generowania impulsw o okrelonym czasie trwania. Jedn z metod
jego rozwizania jest pomiar napicia naadowania lub rozadowania kondensatora, ktra to metoda
zostaa wykorzystana w wielu znanych ukadach scalonych jak choby: 74121, 74123 z rodziny TTL,
czy te legendarnej kostce 555.
W dobie coraz powszechniejszego
stosowania ukadw PLD, problem
generowania impulsw o zadanym
czasie trwania rozwizuje si stosujc metod odmierzania czasu przez
odpowiednio dugi licznik, ktry zlicza impulsy generatora o okrelonej

Rys. 1. Schemat elektryczny pocze


zewntrznych uniwibratora

Elektronika Praktyczna 7/2005

czstotliwoci. Taki sposb odmie- nych niedogodnoci moe okaza


rzania czasu, cho bardzo dokadny,
si opracowany przeze mnie ukad
bywa jednak kopotliwy z racji ogra- generowania impulsu metod rozaniczonych zasobw logicznych zasto- dowania kondensatora, ktry mona
sowanego ukadu CPLD. Wygenero- stosowa, jeeli nie jest wymagana
bardzo dua dokadno. Z logiczwanie wicej ni jednego duszego
nego punktu widzenia ukad dziaa
impulsu (np. 3 opnienia po 10 s
wyzwalane niezalenie) jest sporym tak jak 74121 z dodatkowym wejutrudnieniem, a przecie sam gene- ciem zerujcym, umoliwiajcym
rator impulsu to w zdecydowanej zakoczenie generowania impulsu
wikszoci przypadkw jeszcze nie
cay projektowany
ukad. Zastosowanie za bardziej
bogatego w zasoby logiczne ukadu
wie si niestety
ze wzrostem ceny
samego ukadu,
a nierzadko trudniejszej technologii
jego montau np.
144 wyprowadzenia
w obudowie TQFP.
Pe w n y m p o mysem na rozw i z a n i e o p i s a - Rys. 2. Schemat logiczny uniwibratora

41

R [kV] i C [nF]
przy napiciu zasilania 3,3 V:
T=0,96*R*C
Stabilno uniwibratora przy
wahaniach napicia zasilania
od 3,0 do 3,6 V
(tj. w granicach
10% dopuszczanych przez producenta) jest dobra
jak na tego typu
konstrukcj. Zmiany wartoci geRys. 3. Schemat uniwibratora w konfiguracji z podtrzymy- nerowanego czasu
nie przekraczay
waniem impulsu
odpowiednio 2%.
w dowolnym momencie. Zosta on Przy zmianach ukadw scalonych
zaimplementowany i testowany w w podstawce wartoci te byy jeszkilku ukadach CPLD o symbolu cze mniejsze ok. 1,5%.
EPM3064 (rodzina MAX3000 rmy
Po wczeniu zasilania kondensaAltera) o napiciu zasilania 3,3 V.
tor C jest adowany z portu dwukiePrzypomn, e zarwno wejcia jak runkowego RC, pracujcego w tym
i wyjcia wszystkich ukadw tej momencie jako wyjcie w stanie 1,
rodziny s cakowicie kompatybilne do napicia bliskiego 3,3 V. Zakaze standardem TTL.
dajc e, wejcie RESET znajduje w
Schemat blokowy z rys. 1 przed- stanie 1, to pojawienie si narastastawia sposb doczenia kondensa- jcego zbocza sygnau na wejciu B
tora i rezystora do ukadu uniwibra- spowoduje zmian stanu wyjcia Q
tora, a na rys. 2 przedstawiono jego przerzutnika D, a tym samym wyjschemat logiczny, sporzdzony w cia uniwibratora z 0 na 1. Jedynka
edytorze schematw programu Qu- na wyjciu Q przerzutnika powoduartus II oraz przebiegi sygnaw na je przejcie bufora trjstanowego w
wejciach B, RESET i na wyjciu Q.
stan wielkiej impedancji, co powoMona te oczywicie zrealizo- duje, e kondensator przestaje by
wa rwnowany uniwibrator metod adowany przez port dwukierunkowy
opisu w jzyku AHDL (lub innym RC, ktry sta si teraz wejciem.
HDL), uywajc przeznaczonego do Kondensator C jest wic rozadowytego celu edytora tekstowego. Przy- wany przez rezystor R. Czas rozakad opisu takiego uniwibratora po- dowania kondensatora od maksymalkazano na list. 1. Po przerysowaniu
nej wartoci napicia do napicia
bd przepisaniu i skompilowaniu zera logicznego jest czasem trwania
generowanego impulsu wyjciowego
uniwibratora mona utworzy symbol
graczny bd plik biblioteczny, kt- uniwibratora. Po osigniciu napicia
re mona wykorzysta w przyszych zera logicznego na RC (waciwie
projektach na zasadach stosowania jego grnej wartoci) nastpuje wyelementw bibliotecznych.
zerowanie przerzutnika D i koniec
Tak zaimplementowany uniwi- generowania impulsu. Koniec generobrator wykorzystuje 2 makrocele wania impulsu mona te wymusi
tj. 3% zasobw logicznych ukadu w dowolnej chwili poprzez podanie
EPM3064. Podczas testowania ge- 0 na wejcie zerujce.
Port RC staje si ponownie wyjnerowa bardzo dokadne impulsy
w zakresie od 1 mikrosekundy do ciem w stanie 1 i aduje konden107 sekund, przy wartoci rezystan- sator C. Proces adowania kondencji R wynoszcej 110 kV i kolejsatora jest bardzo szybki, z uwagi
no doczanych kondensatorw o na du wydajno prdow wyjcia
RC w stanie 1 i wynosi zwykle ok.
wartociach od 10 pF do 1000 mF.
Pomiary pojemnoci kondensatorw 0,01 czasu rozadowania jaki wynika
i rezystancji rezystorw przepro- dla danych wartoci R i C ze wzowadziem kilkoma miernikami, co ru. Czas ten mona jeszcze bardziej
pozwolio w przyblieniu okreli skrci zmniejszajc warto rezyzaleno czasu T [mS] od wartoci stora Ro do wartoci 220 V. Jednak

42

List. 1. Opis w jzyku AHDL uniwibratora z zewntrznym obwodem


czasowym

SUBDESIGN UNIWIBRATOR
(
B,RESET:INPUT;
Q:OUTPUT;
RC:BIDIR;
)
VARIABLE
FF:DFF;
BUF:TRI;
Q:NODE;

BEGIN
Q=FF.Q;
FF.CLK=B;
FF.D=VCC;
FF.CLRN=RC AND RESET;
BUF.IN=VCC;
BUF.OE=NOT Q;
RC=BUF.OUT;
END;

List. 2. Opis w jzyku AHDL uniwibratora z zewntrznym obwodem


czasowym i moliwoci podtrzymania czas trwania impulsu wyjciowego
SUBDESIGN INTEGRATOR
(
B:INPUT;
Q:OUTPUT;
RC:BIDIR;
)
VARIABLE
FF:DFF;
Q:NODE;
RCN:TRI_STATE_NODE;
BUF[1..0]:TRI;
BEGIN
Q=FF.Q;
FF.D=VCC;
FF.CLRN=RC;
FF.CLK=B;
BUF[1..0].IN=VCC;
BUF0.OE=NOT Q;
BUF1.OE=B;
RCN=BUF0.OUT;
RCN=BUF1.OUT;
RC=RCN;
END;

z uwagi na powstawanie zakce,


warto tego rezystora nie powinna
by zmniejszana.
Jeeli w czasie adowania kondensatora nastpioby ponowne wyzwolenie uniwibratora, to oczywicie
spowoduje wygenerowanie impulsu,
jednak jego dugo bdzie mniejsza
ni wynikaoby to ze wzoru, stosowna do poziomu napicia na kondensatorze w chwili wyzwolenia.
Na rys. 3 i list. 2 zamieszczono odpowiednio schemat logiczny
i opis HDL uniwibratora z podtrzymaniem impulsu na wyjciu. Sposb doczenia do niego elementw
R, C jest taki sam, jak pokazano
na rys. 1. Ten ukad wyzwalany
jest narastajcym zboczem impulsu
na wejciu B. Rozadowywanie kondensatora rozpoczyna si dopiero
po zmianie sygnau na wejciu B z
1 na 0 i dopiero od tego momentu
naley rozpatrywa opnienie ukadu, ktrego czas trwania obliczamy
rwnie na podstawie podanego
wczeniej wzoru.
Piotr Ksiarczyk

Elektronika Praktyczna 7/2005

You might also like