You are on page 1of 15

ELECTRONICA DIGITAL

TRABAJO RECONOCIMIENTO GENERAL DEL CURSO 1

Grupo: 243004_13

INTEGRANTE:

LUIS IVAN AMAYA BAUTISTA

COD: 1049622597

DARIO ORLANDO DITTA

COD:1095810159

CARLOS ARTURO DIAZ CADENA

COD: 1047376709

TUTOR:

CARLOS AUGUSTO FAJARDO

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA

OCTUBRE

2016
PARTE 1: Ejercicios a desarrollar

1. El display de 7 segmentos de la siguiente figura, requiere un nivel BAJO para


encender cada segmento (nodo comn). Disear un circuito POS con el mnimo
de compuertas posibles cuya entrada sea un nmero en BCD y la salida sea la
lgica del segmento B.

SOLUCION:

TABLA DE LA VERDAD

I I1 I2 I3 F
0
0 0 0 0 0
0 0 0 1 0
0 0 1 0 0
0 0 1 1 0
0 1 0 0 0
0 1 0 1 1
0 1 1 0 1
0 1 1 1 0
1 0 0 0 0
1 0 0 1 0

DESCRIPCION EN VHDL
DIAGRAMA RTL

SIMULACION
2. Describa con sus propias palabras (no copy-paste) qu es, cmo funciona y
principales usos de un multiplexor. Disee con compuertas lgicas (AND, OR y
NOT) un multiplexor de 4:1 (4 entradas una salida). El diseo debe incluir tabla de
verdad y mapas de Karnaught para la salida.

SOLUCION:

MULTIPLEXOR

Es un circuito combinacional que se compone de varias entradas y una sola


salida, en el cual entran varios canales de datos y mediante un selector podemos
indicar cul de todos ellos es el que va a salir.

Su funcionamiento se asemeja al de un conmutador de varias posiciones de


manera que situando el selector en una de las entradas esta ser la que aparezca
en la salida.

Tabla de la verdad simplificada multiplexor de 4:1


S1 S0 F

0 0 I0

0 1 I1

1 0 I2

1 1 I3

Ecuacin obtenida por karnauhgt

F=S 1 . S0 . I 0 + S1 . S0 . I 1 + S1 . S 0 . I 2 + S1 . S 0 . I 3

DESCRIPCION EN VHDL

SIMULACION
3. Describa con sus propias palabras (no copy-paste) qu es, cmo funciona y
principales usos de los decodificadores. Disee con compuertas lgicas (AND, OR
y NOT) un decodificador de 2-lneas a 4- lneas. El diseo debe incluir tabla de
verdad y mapas de Karnaught para cada salida.

SOLUCION:

DECODIFICADOR

Es un circuito combinacional en el cual se introduce un numero y tendremos que


se activara solo una salida las dems se desactivaran tiene una nica entrada y
varias salidas, en este podemos seleccionar por cual canal de salida queremos
sacar la seal de entrada.

Tabla de la verdad decodificador de 2 a 4


E1 E0 O 3 O 2 O 1 O 0

0 0 0 0 0 1
0 1 0 0 1 0
1 0 0 1 0 0
1 1 1 0 0 0

Ecuacin obtenida por karnauhgt

No se puede simplificar por lo tanto no hace falta obtener la ecuacin por


karnauhgt

O0= E 1 . E
0

1 . E0
O 1= E

O2=E1 . E 0

O3=E1 . E 0

DESCRIPCION EN VHDL
DIAGRAMA RTL
SIMULACIN

PARTE 2: Circuitos combinacionales y diseo jerrquico en VHDL

4. Describa en VHDL el sumador que se muestra en la siguiente figura. El diseo


debe tener tres COMPONENTS

El diseo debe incluir:

Un pantallazo de la descripcin en VHDL.


Un pantallazo del diagrama RTL generado por el software.

Un pantallazo de la simulacin en donde se muestre el correcto funcionamiento


del diseo.

SOLUCION:

SUMADOR DE 3 BITS UTILIZANDO DE SUMADORES COMPLETOS DE 1 BIT

DESCRIPCION EN VHDL
DIAGRAMA RTL
SIMULACIN

5. Describa en VHDL el circuito que se muestra en la Figura 2. Este diseo tiene


tres COMPONENTS (sumador, restador y mux) y un archivo de alto nivel (ver
Figura 1). Por favor utilice nombres descriptivos, en la medida de lo posible los
mismos que aparecen en la grfica. Para hacer el sumador y el restado puede
utilizar las libreras de VHDL, de tal forma que solo necesita usar los smbolos de
+ y resta.

El diseo debe incluir.

Pantallazo de la descripcin en VHDL.

Un pantallazo del diagrama RTL generado por el software.

Un pantallazo de la simulacin en donde se muestren las dos posibilidades


(suma y resta).
SOLUCION:

DESCRIPCION EN VHDL ALTO NIVEL


DIAGRAMA RTL

SIMULACIN
CONCLUSIONES

En el anlisis de la propuesta cada integrante dio su punto de vista y


desarrollo de cada ejercicio se llev a un consolidado escogiendo la
mejor y ms viable.

Se revisaron cada uno de los aportes dando un mejor entendimiento


al desarrollo de los ejercicios, estando en comn acuerdo y a
satisfaccin de las ideas aportadas por cada uno de los compaeros.

La informacin suministrada por cada uno de los compaeros fue


acertada con respecto a la propuesta presentadas y finalmente la
escogida para trabajo final.

Con las actividades donde damos soluciones al problema planteado,


podemos ir adquiriendo la experiencia en la implementacin de
diseos en VHDL y de esta forma poner en prctica muchos
conceptos que se han adquirido con el estudio de la primera y
segunda unidad.

Mediante la realizacin de la actividad podemos darnos cuenta del


gran campo de accin que posee la profesin de tecnlogo en
automatizacin electrnica y como con sus aportes a ayudado a
suplir necesidades que se van generando en nuestro entorno

You might also like