You are on page 1of 5

Vol. 34, No.

12 Journal of Semiconductors December 2013

A new circuit for at-speed scan SoC testing


Lin Wei() and Shi Wenlong()
Fujian Key Laboratory of Microelectronics & Integrated Circuits, College of Physics & Information Engineering of Fuzhou
University, Fuzhou 350002, China

Abstract: It is very important to detect transition-delay faults and stuck-at faults in system on chip (SoC) under
90 nm processing technology, and the transition-delay faults can only be detected by using an at-speed testing
method. In this paper, an on-chip clock (OCC) controller with a bypass function based on an internal phase-locked
loop is designed to test faults in SoC. Furthermore, a clock chain logic which can eliminate the metastable state is
realized to generate an enable signal for the OCC controller, and then, the test pattern is generated by automatic
test pattern generation (ATPG) tools. Next, the scan test pattern is simulated by using the Synopsys tool and the
correctness of the design is verified. The result shows that the design of an at-speed scan test in this paper is highly
efficient for detecting timing-related defects. Finally, the 89.29% transition-delay fault coverage and the 94.50%
stuck-at fault coverage are achieved, and it is successfully applied to an integrated circuit design.

Key words: at-speed scan test; on-chip clock; transition-delay faults; phase-locked loop
DOI: 10.1088/1674-4926/34/12/125012 EEACC: 2520

1. Introduction test (DUT). One issue with using an internal PLL clock is that
current ATPG tools assume that clock signals are controlled by
With the recent development of semiconductor processes, primary input pins. Therefore, during test pattern generation it
companies that design and manufacture leading-edge products is necessary to modify the circuit model such that clock sig-
are quickly moving toward very deep submicron (VDSM) inte- nals are driven by primary input pins, while during test pattern
grated circuit (IC) technology1 . Under 90 nm processing has application on ATE launch-capture clocks are derived from a
become the mainstream technology used for system on chip PLL9 .
(SoC). As nanometer technology has led to a drastic increase To detect the path-delay defects in the chip design, at-speed
in operational frequency, circuit performance has become more scan test methodology and the transition-delay fault model are
vulnerable to delay variation, and SoC testing has become more used in this paper. Compared with the traditional method of
challenging2 . Conventional IC test methodology cannot ade- static fault diagnosis based on the stuck-at faults model, using
quately and cost-effectively test the high clock speed and mil- the transition-delay fault model can detect the slow-to-rise and
lions of gates inherent in VDSM technology. At-speed test- the slow-to-fall defects in the path by testing the stuck-at-0 and
ing of ICs is becoming critical for detecting subtle delay de- the stuck at-1 faults10 . Slow-to-rise defects mean that the chip
fects3 5 . internal node state does not correct results from 0 to 1 when the
At-speed scan testing for SoC can test the transition faults chip runs in high frequency. Similarly, the slow-to-fall defects
and support automatic test pattern generation (ATPG) with mean that the chip internal node state does not correct results
Synopsys TetraMAX tool. A key benefit of scan-based at-
speed testing is that only the launch clock and the capture clock
need to operate at the full frequency of the device under test. In
addition, shift data operates at slow speed by using slow shift
clocks to reduce the cost of the test equipment. The automatic
test equipment (ATE) which can supply speed clock is often ex-
pensive and ordinary ATE cannot provide the high frequency
clock. The design of at-speed scan testing in this paper uses the
internal high speed clock generated by the chip6; 7 .
In order to use our approach to test the faults in SoC, addi-
tional on-chip controller circuitry must be designed to control
the on-chip clocks (OCC) in test mode. The basic idea of the
clock control is to use an on-chip clock source, such as a phase
locked loop (PLL) or a delay locked loop (DLL), to provide
at-speed test pulses, while the ATE provides shift pulses and
test control signals at slow speed8 . However, ATE may not
provide an at-speed clock to the input pins of the device under Fig. 1. At-speed transition test timing.

* Project supported by the Key Project Science and Technology Cooperation of Fujian Province, China (No. 2013I0003).
Corresponding author. Email: mqks@fzu.edu.cn, lsqswl@qq.com
Received 26 January 2013, revised manuscript received 8 July 2013 2013 Chinese Institute of Electronics

125012-1
J. Semicond. 2013, 34(12) Lin Wei et al.

Fig. 2. The design architecture of at-speed scan test.

from 1 to 0 when the chip runs in high frequency. The ATPG nal clock (clk) which is created by the OCC controller circuit is
tool generates a launch pulse using the fast clock, and then, it used for driving the scan cells of the SoC design. The test mode
generates another clock pulse using the same clock to capture signal (test_mode) must be active in order to make sure the cir-
the effect of the launch pulse, and can detect the slow-to-rise cuit is working at testing state. The PLL reset signal (pll_reset)
and the slow-to-fall faults. Finally, whether the states of the is used once during test setup and initialization. The scan en-
nodes in the chip are correct or not is tested11 . The time delay able signal (scan_en) which must be active during every cap-
between launch and the capture cycle is illustrated in Fig. 1. ture procedure enables switching between the slow shift clock
and the fast clock signals. The scan_en signal is used to select
2. An on-chip clock controller design between the scan shift operation and the scan capture opera-
tion, and also drives the flip-flop scan. The PLL bypass signal
2.1. OCC controller for at-speed scan testing (pll_bypass) allows connection of the ATE clock signal directly
to the internal clock signals, thus bypassing the PLL clocks.
When doing test pattern generation for the delay de-
fects, the types of fault models, such as the transition fault The OCC controller circuit serves as an interface between
model, have been used extensively in industry12 . To test the the internal scan chains and the clocks which include both the
transition-delay faults used the fast clock, a PLL logic which fast clock and the slow clock. The OCC controller logic typi-
can output the clock multiplier in the SoC must be used. Then cally contains clock multiplexing logic that allows an internal
the OCC controller is used to control the clock to shift and cap- clock to switch from the slow clock during shift to the fast clock
ture the node states. Figure 2 shows the design architecture of created by the phase-locked loop circuit during capture. Figure
at-speed scan testing. 3 shows the structure of the OCC controller.
The signal function description as follows: the reference The logics of the clock chain, as illustrated in Fig. 4, is
clock (ref_clk) is used as a test default frequency input to the composed of two special scan flip-flops (DFF0 and DFF1)
PLL circuit and it must be always in a free-running state. The which are clocked by the falling edge of the internal clock.
PLL clock (pll_clk) or fast clock (fast_clk) is output from the When the scan_en signal is inactive, DFF0 and DFF1 work at
PLL circuit. It is a multiplied reference clock and also works a shift in state. When the scan_en is low, the DFF0 and DFF1
at a free-running state. It is used for generating the launch keep up the previous state. The clock enable signals generated
and capture pulse when the scan enable signal is low. The by the clock chain logic are used as a strobe signal for the OCC
slow clock (slow_clk) comes from the automatic test equip- controller. The clock output the OCC controller does not pro-
ment (ATE). So it is also called ATE clock (ate_clk). The slow duce burrs or another metastable state because the key of the
clock, typically slower than a fast clock, is used to shift the scan clock control logic is made up of a series of special shift regis-
chain. The ATE clock also drives the reference clock. The inter- ters13 .

125012-2
J. Semicond. 2013, 34(12) Lin Wei et al.

Fig. 3. The structure of the OCC controller.

Fig. 4. The logics of the clock chain.

Fig. 6. Two flipflop synchronizer.

the asynchronous signal and output a stable signal to the asyn-


chronous clock domain is known as a synchronizer. The two
flipflop synchronizer is illustrated in Fig. 6. Firstly, the first
flipflop (FF2) delays the asynchronous input signal (data1)
from the slow clock domain into the fast clock domain, and
then, the asynchronous signal waits for a full fast clock cycle
to decay the metastable state; finally, the asynchronous signal
is delayed by the fast clock into a second stage flipflop (FF3).
Being in the fast clock domain, the asynchronous signal now
becomes a stable and valid signal. If the asynchronous signal
Fig. 5. The asynchronous clock domain and its metastable waveform.
via the stage-2 flipflop is still a sufficiently metastable state, it
must be clocked into the third stage to eliminate the metastable
2.2. The design used to eliminate the metastable state state.
Being a part of synchronous circuit, registers can run cor- 2.3. Automatic test pattern generation flow
rectly and reliably if they have no setup and holdup timing vi-
olations. However, it is very difficult to guarantee that all reg- Firstly, the Synopsys design compiler tool is used for logic
isters have no timing violations in the design of a multi-clock synthesis, which is the process of converting the design de-
domain. Timing violations make the registers latch to an inac- scription written using the Verilog language into an optimized
tive level state, which is known as a metastable state. A multi- gate-level netlist mapped to a specific technology library and
clock domain means a circuit working at two or more differ- inserting the scan chain to complete the design for testing. The
ent frequency clocks. Some signals which interacted between design compiler tool can generate a design netlist and a stan-
the fast clock domain and the slow clock domain are known as dard test interface language (STIL) procedure file. The pro-
asynchronous signals. The asynchronous clock domain and the tocol file supports not only the stuck-at test but also the at-
metastable state waveform are shown in Fig. 5. speed ATPG test. And then, the TetraMAX tool, which can ob-
The flipflop in a metastable state will revert to an effec- tain information from the STIL procedure file (SPF) needed
tive level after the circuit waits for some clock cycle. Therefore, for test design rule checking (DRC), is used to generate test
we can delay the asynchronous signal by adding some stage patterns14 . Both the stuck-at faults model and the transition-
registers in the fast clock domain. The register used to delay delay faults model are used respectively to generate test pat-

125012-3
J. Semicond. 2013, 34(12) Lin Wei et al.

Fig. 7. At-speed scan test patterns simulation.

the scan_en signal works at a high level state again. At this


Table 1. Coverage of the transition-delay and the stuck-at faults. time, the fast_clk_enable is inactive and the slow_clk_enable
Fault class (code) Transition-delay fault Stuck-at fault signal is active. The slow_clk is selected as the clock output
Detected (DT) 1139418 1511761 by the OCC controller, and the circuits work in a scan shift-out
Possibly detected (PT) 285 1984
state.
Undetectable (UD) 2896 3349
ATPG untestable (AU) 87661 83362 The stuck-at test patterns are simulated and shown in
Not detected (ND) 45976 298 Fig. 8. The test_mode signal and the pll_bypass signal are al-
Total faults 1276236 1600754 ways active. At this time, the OCC controller is bypassed and
Test coverage (%) 89.49 94.70 the slow_clk signal is selected as the clock output. The circuits
Fault coverage (%) 89.29 94.50 work in a low-speed test mode. The slow_clk signal works as
Patterns 5374 2834 the scan shift clock and the capture clock. The design work
timing is as follows. Firstly, the scan_en signal is active. The
circuits work at scan shift-in state and the flip-flops load the
terns in SoC and thereby ensure quality.
data from the SI pin. Then the scan_en is inactive. The circuits
Coverage of the transition-delay and the stuck-at faults
work at the capture state and the flipflops load the data from
is achieved as shown in Table 1. Fault coverage can effec-
the D pin. Finally, the scan_en signal is active again. The cir-
tively reflect the quality of testing and the calculation formula
cuits work at a scan shift-out state and the flip-flops unload the
is shown as follows15 . Finally, 89.29% transition-delay fault
data captured to a scan out port16 .
coverage and 94.50% stuck-at fault coverage are achieved.
detected_faults 2.5. Integrated circuit verification
Fault_Coverage D : (1)
all_faults
The at-speed scan test technique has been applied to a very-
large-scale integration circuit (VLSI) (CCM3201S, C*Core
2.4. Scan pattern simulation Technology Co., Ltd.) design. With a great reduction in the
The results of at-speed scan test pattern simulations are chip simulation time, test coverage has been improved, which
shown in Fig. 7. A clock pulse that can eliminate the metastable greatly reduces the cost of the chip testing. The chip has been
state is used as the launch date pulse. The test_mode signal is manufactured by using TSMC 90 nm processing technology
always active, and then, the circuits work in test mode. The and FPGA verification is now being done, the preliminary test
pll_bypass signal is always inactive and the circuits work in results show that the desired effects in reducing the simulation
at-speed scan test mode. The design work timing is shown as time and the cost of the chip testing are achieved. The applied
follows: firstly, the scan_en signal works at high level state, at-speed scan technology test board is shown in Fig. 9.
the fast_clk_enable signal is inactive and the slow_clk_enable
signal is active during the time. At this time, the slow_clk is 3. Conclusions
selected as the clock output by the OCC controller and the cir-
cuits work at scan shift-in state. Then, the scan_en signal works With PLL performance improvements, an at-speed test
at a low level state, and the scan_en signal turn into an inactive method based on an internal PLL structure which is able to
state. Counting to the sixth fast_clk clock cycle, at this time, the detect timing-path defects is designed. According to the fea-
fast_clk_enable is active and the slow_clk_enable signal is in- tures of at-speed test, an at-speed scan test design using launch-
active, and the fast_clk signal is selected as clk output to gener- on-shift and launch-on-capture with bypass logic is proposed
ate the second fast clock pulse by the OCC controller. There is in this paper. The OCC controller for SoC is designed and
a clock cycle between the second clock pulse and the first clock transition-delay fault and stuck-at fault testing is realized. The
pulse. This makes the circuits turn over successively twice un- patterns generated based on this architecture have no jitter.
der the high-speed system clock. The circuits work at capture Both the transition-delay fault model and the stuck-at fault
state and then the transition-delay defects are tested. Finally, model used for testing can achieve a better yield of SoC chip

125012-4
J. Semicond. 2013, 34(12) Lin Wei et al.

Fig. 8. The stuck-at test patterns simulation.

[3] Iyengar V, Yokota T, Yamada K, et al. At-speed structural test for


high-performance ASICs. IEEE International Test Conference,
2006: 1
[4] Pomeranz I, Reddy S M. Unspecified transition faults: a tran-
sition fault model for at-speed fault simulation and test genera-
tion. Computer-Aided Design of Integrated Circuits and Systems,
2008, 27: 137
[5] Cho K Y, Srinivasan R. A scan cell architecture for inter-clock
at-speed delay testing. 29th IEEE VLSI Test Symposium, 2011:
213
[6] Liang C, Wu M, Huang J. Power supply noise reduction in
broadcast-based compression environment for at-speed scan test-
ing. 19th IEEE Asian Test Symposium, 2010: 361
[7] Pant P, Zelman J, Colon-Bonet G, et al. Lessons from at-speed
scan deplaoment on an Intel itanium microprocessor. IEEE Inter-
national Test Conference, 2010
[8] Zeng J, Mateja M A, Wang J, et al. Scan-based speed-path de-
Fig. 9. The applied at-speed scan technology test board. bug for a microprocessor. IEEE Design & Test Computers, 2012,
29(4): 92
[9] Fan X, Hu Y, Wang L. An on-chip test clock control scheme for
and the at-speed scan test can be performed using low-cost multi-clock at-speed testing. 16th IEEE Asian Test Symposium,
ATE. The design has high coverage and good universality. 2007: 341
Finally, 89.29% transition-delay fault coverage and 94.50% [10] Wen X, Enokimoto K, Miyase K, et al. Power-aware test genera-
stuck-at fault coverage are achieved, and successfully applied tion with guaranteed launch safety for at-speed scan testing. 29th
to an integrated circuit design. IEEE VLSI Test Symposium, 2011: 166
[11] Moghaddam E K, Rajski J, Reddy S M, et al. Low test data vol-
ume low power at-speed delay tests using clock-gating. Asian
Acknowledgment Test Symposium, 2011: 267
[12] Huang Y, Lin X. Programmable logic BIST for at-speed test. 16th
We are grateful to Lin Xiongxin, Liu Hongwei and Zhu IEEE Asian Test Symposium, 2007: 295
Annan for their help. [13] Moghaddam E K, Rajski J, Reddy S M. At-speed scan test with
low switching activity. 28th IEEE VLSI Test Symposium, 2010:
177
References [14] Pant P, Skeels E. Hardware hooks for transition scan characteri-
zation. IEEE International Test Conference, 2011
[1] Nadeau-Dostie B. Design for at-speed test, diagnosis and mea- [15] Shi W, Lin W. DFT for the shadow logic of embedded memory
surement. New York: Kluwer Academic Publishers, 2002 in SOC. Chinese Journal of Electron Devices, 2012, 35: 317
[2] Yoneda T, Hori K, Inoue M, et al. Faster-than-at-speed test for [16] Pomeranz I. Reduced power transition fault test sets for circuits
increased test quality and in-field reliability. IEEE International with independent scan chain modes. IEEE Trans Very Large
Test Conference, 2011: 1 Scale Integration (VLSI) Syst, 2013, 21(7): 1354

125012-5

You might also like