You are on page 1of 19

TLM28

+ CP
PORTUG2 1.00 CENTRO
435.52/_-8.0 428.55/_-22.0
TLM7
TLM6 Capa
Load14 LF

6000MW

2
20/428
2000MVAR + CP
1.00 + CP P Q
2

1.00
20/432

400kVRMSLL

1
GALICIA 0MW
1

431.40/_-2.5 -1000MVAR
GEN_POR2
20.90/_-1.7 GEN_CENT
3700MW

Load2 LF

2
19.91/_-21.0

20/416
1000MVAR
+
VwZ12

1
GEN_POR2

+
GEN_CENT

VwZ10
LF

LF
GEN_GAL
20kVRMSLL /_0
P=5000MW PVbus:GEN_POR2 P=3000MW
V=20.8kVRMSLL V=20kVRMSLL 20kVRMSLL /_0
Vsine_z:VwZ12 Vsine_z:VwZ10 PVbus:GEN_CENT
Phase:0

+
Phase:0

VwZ1
GEN_GAL
LF
Slack: 20.8kVRMSLL/_0 20kVRMSLL /_0
Vsine_z:VwZ1 Slack:GEN_GAL

The France-Spain HVDC link


HVDC VSC MMC modeling challenges, Monday June 20, 2011
EMTP User Group Meeting, Clamart, France
Sbastien Dennetire (RTE), Hani Saad (Ecole Polytechnique de Montral)
Project Presentation

A 2000 MW - 65 km underground cable DC link connecting Baixas


(near Perpignan, France) and Santa Llogaia (near Figueras, Spain)

Baixas

Santa Llogaia
Key Features
France Spain HVDC Link
Baixas

Rated power: 2*1000 MW

DC voltage: 320 kV for each 1000MW link

Reactive Power Control: +/- 300 MVAR for


each 1000MW Converter Stations

Converter Contractor : Siemens


Connected in a meshed AC network
Tunnel

DC cable length: 64 km

Cable Contractor: Prysmian


8 km dedicated Tunnel
Santa Llogaia

Commisioning date: 1st half 2014


Thesis:
Modelling and Real Time Simulation of the MMC-based HVDC link

Hani SAAD

Universities:
Director: Jean Mahseredjian, cole Polytechnique de Montral
Co-director: Xavier Guillaud, cole Centrale de Lille

Industrial partner: RTE


Project co-directors: Sbastien DENNETIERE and Samuel NGUEFEU
Modular Multilevel Converter (MMC) has become a highly attractive topology
for medium and high voltage applications. It is a new type of multilevel voltage
source converter (VSC).

Advantages of the MMC over the classical VSC types : NPC, multilevel FC etc.
- Low frequency modulation
- Lower transient peak voltages on IGBT -> Less losses
- Very low THD -> no need for High-pass Filters
Overview of the HVDC VSC-MMC system

MMC-1 Rectifier 64 km DC Cable MMC-2 Inverter


400/320 kV 401 Levels 50mH Wideband Model 50mH 401 Levels 320/400 kV
1,000MVA 1,000MVA
Z=10% LR LR Z=10%
1,000 MW
S 320 kV
S
Yg/
50mH 50mH /Yg 400kV
400kV
50Hz 50Hz
LR LR

Control system: Control system:


- PLL - PLL
- Clark Transformations - Clark Transformations
- P/Q Control (Outer control) - Vdc/Q Control (Outer Control)
- Inner Control - Inner Control
- NLC modulation - NLC modulation
- Capacitor Balancing Control - Capacitor Balancing Control
Overview of the MMC topology
Id
arm
iua iub iuc
SM-1 SM-1 SM-1 At normal operation, S1 and S2 are complementary
The sub-module consist of two states:
SM-2 SM-2
S1->on and S2->off
vua SM-2
S1->off and S2->on
.. .. ..
. . .
SM- SM- SM- State #1 State #2
400 400 400

Ls Ls Ls On S1 S1 Off S1

va C
ia S2
Off S2 On
vb ib S2
Vdc
vc ic
Sub-Module
On Off S1
Ls Ls Ls S1

SM-1 SM-1 SM-1


Off S2 On S2

SM-2 SM-2 SM-2


vla
.. .. ..
. . .
SM- SM- SM-
400 400 400
ila ilb ilc

On Off
Example: Suppose we have a MMC-9levels
N up

v up _ a ( S up _ ai vc up _ ai )
i 1

V dc V dc
va v up _ a v low _ a
2 2
Vup_a

Va

+Vdc /2

vc Vdc
v Nup= 2
vab Nlow= 6

Vlow_a

Nup= 7
Nlow= 1
-Vdc /2
The Outer/Inner controls are similar to the standard VSC-HVDC systems

Outer control:
Active and Reactive power are controlled at the rectifier side
DC voltage and Reactive Power are controlled at the inverter side

Outer Control Inner Control Vsd

+
P*, V*d
+ + - Vcd
PI PI
Vabc - I*d -
+
PLL
Id LR
P, Vd
Vabc, Iabc Iq LR
abc
d-q - -
Q*, V*ac + PI + - Vcq
PI
- I*q
+

Q, Vac Vsq
Different modulation techniques exists: .
For MMC with very high levels, the NLC modulation is very appropriate,
keeping a low switching frequency and very accurate waveform

NLC modulation (Nearest Level Control):

Pre-calculation of angles :
k
( k , k 1)
arcsin
M

(M
N 1
,k 1, 2 ,..., M ) Voltage transformation of the
2
voltage waveform in degree Vdc /2
= arcsin(Vac) Vci
1 ... 456 90
()
Vdc /2
Pour : ( k 1 ), k k k ,( k 1)

Select number of level


() Modulated voltage

Reference voltage
Balancing Control Algorithm (BCA)
Objective: keep the different capacitor voltages of each SM near the average
nominal value

Determine the number of


Modulated required sub-modules in if (iup , ilow) > 0
voltage the upper arm (nup) and
lower arm (nlow) : Select (nup , niow) sub-modules with
nup + nlow = 800 the lowest values of (Vcup , Vclow)
if (iup , ilow) < 0
(VCup1,VClow1) Select (nup , niow) sub-modules with Gating signal
Sort upper
(VCup2,VClow2) the highest values of (Vcup , Vclow) generator for IGBT
and lower
...
arms voltage
(VCup400,VClow400) Set the switching functions of the
capacitor
selected sub-modules to one, i.e. (Sup,,
(iup , ilow) Slow) = 1
MMC-based HVDC 401 levels
system in EMTP-RV
St ar t EM TP ScopeView DLL O pt ions

cable_r v. cyz

WB Fit t er
3Aup CABLE DATA

1Alow
2Alow
3Alow
1Blow
2Blow
3Blow
1Clow
2Clow
m odel in: wbf it _cable_r v. dat

3Blowi
2Blowi
1Blowi
3Bup

3Clow

2Clow 2Clowi

1Clowi

3Alowi
2Alowi
1Alowi
m odel in: cable_r v. cyz

1Aup
2Aup

1Bup
2Bup

1Cup
2Cup
3Cup

3Clow 3Clowi

3Cup 3Cupi
2Cup 2Cupi
1Cup 1Cupi
3Bup 3Bupi
2Bup 2Bupi
1Bup 1Bupi

3Aup 3Aupi
2Aup 2Aupi

1Aupi
vb_yr vb_dr cable
vb_yi

1Alow
2Alow
3Alow

1Blow
2Blow
3Blow

1Clow
2Clow
3Clow

1Clow

3Blow
2Blow
1Blow
3Alow
2Alow
1Alow
va_yr vc_yr

1Aup
2Aup
3Aup

1Bup
2Bup
3Bup
1Cup
2Cup
3Cup

1Aup
va_dr vc_dr SA1up SA1up SA1upi SA1up vb_di va_yi vc_yi
SA2up SA2up SA2upi SA2up va_di vc_di
SA3up SA3up SA3upi SA3up

SB1up SB1up SB1upi SB1up


v( t )

i up
A SB2up L3 L1 SB2upi i upi
A
v( t )

v( t )
ia
u SB2up i ( t ) I dcR WBline2 i ( t ) I dcI SB2up ia
u
p14

iBup SB3up + + SB3upi iBupi


?sp13

?s

iub SB3up SB3up iub I NV

?s
v( t )
ib_yr ib_dr iCup iuc 50m H ?s + WB 50m H ?s iuc iCupi ib_di
SC1up SC1up SC1upi SC1up ib_yi

?s
ic_yr
HV ia_yr TRLVr ia_dr ic_dr SC2up SC2up SC2upi SC2up TRLVi ic_yi ia_yi S_I NV
SC3up SC3upi ic_di ia_di
SC3up SC3up

1| 1E15| 0
+
DEV15 YgD_3 YgD_2 DEV16

SW4
i (t) p15 1 2 i (t) p12 REC i ( t ) p6 2 1 i (t)
+
?s ?s
AC VSC_MMC_401L P
N
pos
neg Vdc Vdc_r pos
neg Vdc Vdc_i P
N VSC_MMC_401L AC ?s ?s +

400/ 320 b 400/ 320

SA1low SA1low SA1lowi SA1low


a
1| 1. 2| 0

SA2low SA2low SA2lowi SA2low


SA3low L4 L2 SA3lowi
+

SA3low + + SA3low

1| 1. 2| 0
p1 Vab_r i low
A ila SB1low SB1low 50m H 50m H SB1lowi SB1low ila i lowi
A

+
p2 o scope iBlow ilb SB2low SB2low SB2lowi SB2low ilb iBlowi
iClow ilc SB3low SB3low SB3lowi SB3low ilc iClowi

SC1low SC1low SC1lowi SC1low


SC2low SC2low SC2lowi SC2low
SC3low SC3low SC3lowi SC3low
DEV6 DEV8

2Clowi
1Clowi

3Blowi
2Blowi
1Blowi
3Alowi
2Alowi
1Alowi
3Aup
1Blow
2Blow
3Blow

3Bupi
1Alow
2Alow
3Alow

1Clow
2Clow

3Clowi

3Cupi
2Cupi
1Cupi

2Bupi
1Bupi

3Aupi
2Aupi
1Aupi
3Clow
1Aup
2Aup

1Bup
2Bup
3Bup

1Cup
2Cup
3Cup

Vr ef _inv
Va_r ef _r ect Vr ef _r ec Va_r ef _inv scope
scope

3Clow
2Clow
1Clow

3Blow
2Blow
1Blow
3Alow
2Alow
1Alow

3Cup
2Cup
1Cup

3Bup
2Bup
1Bup

3Aup
2Aup
1Aup
1Alow
2Alow
3Alow
1Blow
2Blow
3Blow
1Clow
2Clow
3Clow
1Aup
2Aup
3Aup

1Bup
2Bup
3Bup

1Cup
2Cup
3Cup

Vdc_i Pm eas_i
V_m odulat ed_inv
DEV9 DEV4 SA1up V_m od_r ec V_m odulat ed_r ec V_m od_inv scope SA1upi SA1up DEV10 DEV11
va_yr Pm eas_r SA1up scope SA2upi va_yi
Vay Pm eas Pm eas SA2up SA2up Vdc Pm eas Vay
vb_yr Q m eas_r SA2up SA3upi Q m eas_i vb_yi
Vby Q m eas Q m eas SA3up SA3up Pm eas_r Pm eas_i SA3up Q m eas Q m eas Vby
vc_yr Pm eas_i scope vc_yi
Vcy Uf _m eas Um eas_r Um eas Pm eas_r scope SB1upi SB1up DEV12 Um eas Um eas_i Uf _m eas Vcy
ia_yr DEV5 SB1up SB1up Q m eas_i SB2upi ia_yi
I ay V_m od_r ec SB2up Q m eas_r Q m eas_i scope SB2up V_m od_inv Va_r ef _inv I ay
i _yr
b I by VD VD Va Va_r ef _r ect Ua Na SB2up Q m eas_r scope SB3upi SB3up VAr ef Na Ua Va VD VD I by i _yi
b
d-q trns form a tions M odula tion Outer Contr ol (Vdc/Q)
ic_yr VQ VQ Outer Contr ol (P/Q) M odula tion VAr ef SB3up SB3up Um eas_i Capacitor Balancing Algorithm VQ VQ d-q tra ns form a tions ic_yi
Capacitor Balancing Algorithm
I cy Um eas_r VBr ef Nb Ub Vb I cy
PLL c ontrol Vb Ub Nb VBr ef SC1up Um eas_r Um eas_i scope SC1upi SC1up NLC
NLC Inner Contr ol PLL c ontrol
va_dr ID ID
Inner Contr ol SC1up scope SC2upi (CBA) VCr ef ID ID va_di
(CBA)
Vad VCr ef SC2up Vdc_i SC2up Nc Uc Vc Vad
vb_dr Vbd IQ IQ Vc Uc Nc SC2up Vdc_r Vdc_i scope SC3upi SC3up IQ IQ Vbd vb_di
vc_dr SC3up SC3up Vdc_r scope vc_di
Vcd iAupi Li_PWM Vcd
Fr eeze Fr eeze Li_PWM i up
A i up
A SA1lowi SA1low i up
A Fr eeze Fr eeze
ia_dr I ad iBup SA1low SA1low SA2lowi iBup iBupi I ad ia_di
Sin sin iBup SA2low sin Sin

1Alowi
i _dr
b I bd iCup SA2low SA2low SA3lowi iCup iCupi I bd i _di
b
Cos cos iCup SA3low cos Cos
1Aup

ic_dr I cd SA3low SA3low Vc_r ect Vc_inv I cd ic_di


Vdc_r Vdc i low
A SB1low 1up1 Vc_rscope
ect 1 1low1 scope
Vc_inv1 SB1lowi SB1low i low
A iAlowi
iAlow SB1low 1up150 scope 1low52 scope SB2lowi i lowi
B
iBlow SB2low SB2low iBlow
i low
B SB2low SB3lowi iClowi
iClow iClow SB3low SB3low SB3low iClow
1Alow

1Aupi
SC1lowi SC1low
SC1low SC1low Vc_r ect 2 Vc_inv2 SC2lowi SC2low
SC2low SC2low 1low1 Vc_rscope
ect 3 1up1 scope
Vc_inv3 SC3lowi
SC3low 1low12 scope 1up30 scope SC3low DEV3
DEV1 SC3low
P
P

p4 i(t)

p8 i(t)
p12 i(t)
iub iub iuc iuc
iua iua

?s

?s
?s

pos
DEV30 DEV33
DEV24 pos

pos

pos
3up 3up
S3up S3up

pos

pos
1Bup 1Bup 1up S1up SB1up 1Cup 1Cup 1up S1up SC1up DEV31

1Aup 1Aup SA1up SB1up SC1up 3up1 vc1 1T1 S3up1


1up S1up SA1up 2SM

ph
3up2 S3up2
vc2 2T1

ph

ph
3up3 vc1 1T1 S3up3

pos
ph
3up4 vc2 2T1 S3up4
1MMC_152SM 1MMC_152SM 3up5 vc3 3T1 S3up5
1MMC_152SM 3up6 vc4 4T1 S3up6
DEV28 DEV31 3up7 vc5 5T1 S3up7
DEV22 3up8 vc6 6T1 S3up8
3up9 vc7 7T1 S3up9

pos

pos
3up10 vc8 DEV30 8T1 S3up10

pos
3up11 vc9 9T1 S3up11
o p1 2Bup 2Bup 2up S2up SB2up 2Cup 2Cup 2up S2up SC2up

pos ph
scope p2 2Aup 2Aup 2up S2up SA2up SB2up SC2up 3up12 vc10 10T1 S3up12

scp1 SA2up 3up13 vc1 SM 1T1 S3up13

ph

ph
3up14 vc2 2T1 S3up14

ph
3up15 vc3 3T1 S3up15
3up16 vc4 4T1 S3up16
MMC_152sm MMC_152sm 3up17 vc5 5T1 S3up17
MMC_152sm 3up18 vc6 6T1 S3up18
DEV23 DEV29 DEV32 3up19 vc7 7T1 S3up19
3up20 vc8 DEV29 8T1 S3up20

pos

pos
3up21 vc9 9T1 S3up21

pos
3up22 S3up22

pos ph
vc10 10T1
3Bup 3Bup 3up S3up SB3up 3Cup 3Cup 3up S3up SC3up 3up23 vc1 1T1 S3up23
3Aup 3Aup 3up S3up SA3up SB3up SC3up 3up24 vc2
SM
2T1 S3up24
SA3up 3up25 vc3 3T1 S3up25

ph

ph
3up26 vc4 4T1 S3up26
ph
3up27 vc5 5T1 S3up27
3MMC_152SM 3MMC_152SM 3up28 vc6 6T1 S3up28
3MMC_152SM 3up29 vc7 7T1 S3up29
DEV28
3up30
3up31
vc8
vc9
8T1
9T1
S3up30
S3up31
pos

pos ph
3up32 vc10 10T1 S3up32
3up33 vc1 1T1 S3up33
L6 3up34 vc2 SM 2T1 S3up34 Fm1
+ L7
a + L8
3up35
3up36
vc3
vc4
3T1
4T1
S3up35
S3up36 Vc T1 1T1
#Ls# +
3up37 vc5 5T1 S3up37
p1 V1
#Ls# 3up38 vc6 6T1 S3up38
vc1 o
AC #Ls#
3up39
3up40
vc7
vc8 DEV27
7T1
8T1
S3up39
S3up40
p2 V0 T2
b 3up41 vc9 9T1 S3up41

pos ph
3up42 vc10 10T1 S3up42
AC 3up43 vc1 SM 1T1 S3up43
c 3up44 vc2 2T1 S3up44
3up45 vc3 3T1 S3up45
3up46 vc4 4T1 S3up46

L11
3up47
3up48
vc5 5T1 S3up47
S3up48
Fm11
L10 vc6 6T1
+ L9 3up49 vc7 7T1 S3up49
Vc T1 2T1
+ 3up50 vc8 DEV26 8T1 S3up50

#Ls# + 3up51 vc9 9T1 S3up51


p1 V1
#Ls# 3up52 S3up52
vc2 o

pos ph
vc10 10T1
#Ls# 3up53
3up54
vc1 SM 1T1 S3up53
S3up54
p2 V0 T2
vc2 2T1
3up55 vc3 3T1 S3up55
3up56 vc4 4T1 S3up56

DEV25 DEV37 3up57 vc5 5T1 S3up57


DEV34 3up58 vc6 6T1 S3up58
3up59 vc7 7T1 S3up59
pos

pos
3up60 vc8 DEV25 8T1 S3up60

pos
1Alow SA1low 1Clow SC1low 3up61 vc9 9T1 S3up61 Fm12
1Alow 1low S1low 1Clow 1low S1low

pos ph
SA1low 1Blow 1Blow 1low S1low SB1low SC1low 3up62 vc10 10T1 S3up62
Vc T1 3T1
SB1low 3up63 vc1
SM
1T1 S3up63
ph

ph
3up64 vc2 2T1 S3up64
p1 V1
ph
3up65 vc3 3T1 S3up65

1lMMC_152 1lMMC_152 3up66 vc4 4T1 S3up66 vc3 o


1lMMC_152 3up67 vc5 5T1 S3up67 p2 V0 T2
3up68 vc6 6T1 S3up68

DEV26 DEV38 3up69 vc7


DEV24
7T1 S3up69
DEV35 3up70 vc8 8T1 S3up70
3up71 vc9 9T1 S3up71
pos

pos

pos ph
3up72 vc10 10T1 S3up72
pos

3up73 vc1 1T1 S3up73


p1 2Alow 2Alow SA2low 2Clow 2Clow SC2low 3up74 SM S3up74
scope o 2low S2low SA2low 2Blow 2Blow SB2low 2low S2low SC2low 3up75
vc2 2T1
S3up75 Fm13
p2 2low S2low SB2low vc3 3T1
scp2 3up76 vc4 4T1 S3up76
Vc T1 4T1
ph

ph
3up77 S3up77
ph

vc5 5T1
3up78 S3up78
2lMMC_152SM 2lMMC_152SM 3up79
vc6 6T1
S3up79
p1 V1
2lMMC_152SM 3up80
vc7
DEV23
7T1
S3up80
vc4 o
3up81
vc8
vc9
8T1
9T1 S3up81
p2 V0 T2
DEV27 DEV39

ph
3up82 S3up82
DEV36 3up83
vc10 10T1
S3up83

pos
vc1 SM 1T1
pos

pos
3up84 vc2 2T1 S3up84
pos

3up85 vc3 3T1 S3up85

3Alow 3Alow 3low S3low SA3low 3Clow 3Clow 3low S3low SC3low 3up86 vc4 4T1 S3up86
SA3low 3Blow 3Blow 3low S3low SB3low SC3low 3up87 vc5 5T1 S3up87
SB3low 3up88 vc6 6T1 S3up88 Fm14
ph

ph
3up89 vc7 7T1 S3up89
Vc T1 5T1
ph

3up90 vc8 DEV22 8T1 S3up90


3lMMC_152SM 3lMMC_152SM 3up91 vc9 9T1 S3up91
3lMMC_152SM p1 V1

pos ph
3up92 vc10 10T1 S3up92
3up93 vc1 1T1 S3up93 vc5 o
3up94 vc2
SM
2T1 S3up94 p2 V0 T2
3up95 vc3 3T1 S3up95
3up96 vc4 4T1 S3up96
p10 i(t)

3up97 vc5 5T1 S3up97


3up98 vc6 6T1 S3up98
p9 i(t)

ilb ilb 3up99 vc7 7T1 S3up99

p11 i(t)
?s

3up100 vc8 DEV21 8T1 S3up100


ila ila ilc 3up101 S3up101
ilc vc9 9T1
Fm15
?s

3up102 S3up102

pos ph
?s
vc10 10T1
3up103 S3up103
3up104
vc1
vc2
SM 1T1
2T1 S3up104 Vc T1 6T1
3up105 S3up105
3up106
vc3 3T1
S3up106 p1 V1
3up107
vc4
vc5
4T1
5T1
S3up107 vc6 o p2 V0 T2
3up108 vc6 6T1 S3up108
3up109 vc7 7T1 S3up109
N 3up110 vc8 DEV20 8T1 S3up110
3up111 vc9 9T1 S3up111
N

ph
3up112 vc10 10T1 S3up112
3up113 vc1 1T1 S3up113

pos
3up114 vc2 SM 2T1 S3up114
3up115
3up116
vc3
vc4
3T1
4T1
S3up115
S3up116
Fm16
3up117 vc5 5T1 S3up117 Vc T1 7T1
3up118 vc6 6T1 S3up118
3up119 vc7 7T1 S3up119 p1 V1
3up120 vc8 DEV19 8T1 S3up120 vc7 o
3up121 vc9 9T1 S3up121 p2 V0 T2

pos ph
3up122 vc10 10T1 S3up122
3up123 vc1 1T1 S3up123
SM
3up124 vc2 2T1 S3up124
3up125 vc3 3T1 S3up125

VSC-MMC 401 Levels


3up126 vc4 4T1 S3up126
3up127 S3up127
vc5 5T1
3up128 vc6 6T1 S3up128
3up129 vc7 7T1 S3up129 Fm17
3up130 vc8 DEV3 8T1 S3up130
3up131
vc9 9T1
S3up131 Vc T1 8T1
3up132 S3up132

pos ph
vc10 10T1
3up133 vc1 SM 1T1 S3up133
vc8 o p1 V1
3up134 S3up134
3up135
vc2
vc3
2T1
3T1
S3up135 p2 V0 T2
3up136 vc4 4T1 S3up136
3up137 vc5 5T1 S3up137

Vc
3up138 vc6 6T1 S3up138
3up139 vc7 7T1 S3up139
3up140 vc8 DEV2 8T1 S3up140
3up141 vc9 9T1 S3up141

pos ph
3up142 vc10 10T1 S3up142
3up143 vc1 1T1 S3up143
Fm18
SM
3up144
3up145
vc2
vc3
2T1
3T1
S3up144
S3up145
Vc T1 9T1
3up146 vc4 4T1 S3up146 p1 V1
3up147 vc5 5T1 S3up147 vc9 o
3up148 vc6 6T1 S3up148 p2 V0 T2
3up149 vc7 7T1 S3up149
3up150 vc8 DEV1 8T1 S3up150
3up151 vc9 9T1 S3up151

ph
3up152 vc10 10T1 S3up152
SM
ph
V1 T1
Fm19

+
Vc T1 10T1

ph
p1 V1
vc10 o
p2 V0 T2
#Cp# C2
!v
ph

V0 T2

Total IGBT/diode in the system:


2(IGBT/SM)*400(SM/arms)*2(arms/phase)*3(phases)*(converters)
= 9 500 IGBTs with antiparallel diodes
Simulation results :
For t=2 s:
-> Run time for simplified model= 30min 10s
-> Run time for detailed model= 3h 20min

Inverter Side
Rectifier Side
AVR+Gov

AVR+Gov

AVR+Gov

AVR+Gov
-e x c . s t1

-e x c . s t1

-e x c . s t1

-e x c . s t1
-i e e e g 3

-i e e e g 3

-i e e e g 3

-i e e e g 3
-p s s 1 a

-p s s 1 a

-p s s 1 a

-p s s 1 a
AVR_ 1 3

AVR_ 1 1

AVR_ 1 2
AVR_ 8
PVb u s :BL AYAIS_ L F1

PVb u s :BL AYAIS_ L F2

PVb u s :BL AYAIS_ L F3

PVb u s :BL AYAIS_ L F4


Ph a s e :0 Ph a s e :0 Ph a s e :0 Ph a s e :0

BL AYAIS_ SM 1

BL AYAIS_ SM 2

BL AYAIS_ SM 3

BL AYAIS_ SM 4
P=9 0 0 M W P=9 0 0 M W P=9 0 0 M W P=9 0 0 M W

LF

LF

LF

LF
V=2 4 .4 8 k VRM SL L V=2 4 .4 8 k VRM SL L V=2 4 .4 8 k VRM SL L V=2 4 .4 8 k VRM SL L
SM :BL AYAIS_ SM 1 SM :BL AYAIS_ SM 2 SM :BL AYAIS_ SM 3 SM :BL AYAIS_ SM 4

SM

SM

SM

SM
1 1 2 0 M VA

1 1 2 0 M VA

1 1 2 0 M VA

1 1 2 0 M VA
BL AYAIS_ L F1 BL AYAIS_ L F2 BL AYAIS_ L F3 BL AYAIS_ L F4

24k V

24k V

24k V

24k V
?m

?m

?m

?m
BL AYAIS_ 1 BL AYAIS_ 2 BL AYAIS_ 3 BL AYAIS_ 4
1 .0 2 /_ 2 4 .0 1 .0 2 /_ 2 4 .0 1 .0 2 /_ 2 4 .0 1 .0 2 /_ 2 4 .0

2 4 /4 1 5

2 4 /4 1 5

2 4 /4 1 5

2 4 /4 1 5
1

1
2

2
4 1 2 k VRM SL L /_ 0
P=-9 5 M W
PVb u s :L F3
V=4 1 5 k VRM SL L
Vs i n e _ z :VwZ1 4

VwZ1 4
BRAUDS7 1

LF
1 .0 0 /_ 2 2 .5
L F3

+
Ph a s e :0 Ph a s e :0

AVR+Gov

AVR+Gov
-e x c . s t1

-e x c . s t1
P=-3 1 0 M W

-i e e e g 3

-i e e e g 3
-p s s 1 a

-p s s 1 a
V=4 0 0 k VRM SL L 1 .0 4 /_ -0 .5

AVR_ 1 0

AVR_ 1 4
BRAUDS7 1
Vs i n e _ z :VwZ1 7

SM :GOL FL ESF_ SM 1
RUYERS7 1
L F6 LF

22 synchronous machine

GOL FL ESF_ L F1

SM :GOL FL ESF_ SM 2
V=2 1 k VRM SL L
P=9 0 0 .1 4 M W

PVb u s :GOL FL ESF_ L F1

PVb u s :GOL FL ESF_ L F2


GOL FL ESF_ SM 2
GOL FL ESF_ L F2

V=2 1 k VRM SL L
GOL FL ESF_ SM 1
+

P=9 0 0 .1 4 M W
Ph a s e :0
+

LF

LF
VwZ1 7

BRAUDL 7 2 CUBNE
BRAUDL 7 3 CUBNE

BRAUDL 7 4 CUBNE

Ph a s e :0
SM

SM
4 1 2 k VRM SL L /_ 0 RUYERS7 1

1 6 5 0 M VA
BRAUDL 7 1 M QIS

1 6 5 0 M VA
PVb u s :L F6
<8 4 4 7 0 >

24k V
?m

?m

24k V
803> 22>

LF
GOL FL ESF1 GOL FL ESF2 380M W
<8 4 4 7 0 CUBNES7 1
8> 1 .0 0 /_ 2 2 .1 1 .0 0 /_ 2 2 .1 8 0 M VAR

+
GAUDIL 7 1 RUEYR
4 7 > <4 7
Load22

2 0 /4 1 5

2 0 /4 1 5
1

1
+
OFF <4 7 5 1 9 3 > TAVEL S7 1
Load20

2
Load27 L F2 P=3 1 5 0 M W
Load-Flow 630M W

<1 0 5 1 1 4 5 >
LF V=4 1 2 k VRM SL L

LF
1 9 0 M VAR

LF
930M W Vs i n e _ z :VwZ1 3
2 6 6 M VAR 1 .0 6 /_ 2 0 .0 1 .0 2 /_ 1 6 .8 GAUDIS7 1 TAM ARS7 1 <1 5 4 8 3 0 > TAVEL S7 1 Ph a s e :0
GAUDIL 7 1 TAM AR
VERFES7 1 TAM ARL 7 1 TAVEL
CUBNEL 7 1 DONZA DONZAL 7 1 L ESQU + GAUDIL 7 1 VERFE +
+ VwZ1 3
View Steady-State

135> 253>
<4 7 0 <1 6 9 + <3 1 <2 5 7 + CP L ESQUL 7 1 VERFE + 639> 39> + 4 1 2 k VRM SL L /_ 0
M QIS_ S7 1 ISSEL S7 1 GAUDIL 7 2 TAM AR
769> 466> PVb u s :L F2
0 .9 9 /_ 1 9 .1 + CUBNEL 7 2 M QIS 0 .9 9 /_ 1 3 .6 +
L ESQUS7 1 +
TAM ARL 7 2 TAVEL

<1 0 5 1 1 4 5 >
<1 5 4 8 3 0 >

653> 62>
<3 1 <2 5 7 +
620> 18> GAUDIL 7 2 ISSEL GAUDIS7 1
Change Tfos Para 610M W + VM

LF
+ + DONZAL 7 2 VERFE +

M QIS L 7 1 SAUCA
CUBNEL 7 2 DONZA CP ?v 0 .9 8 /_ 2 0 .9 1 .0 3 /_ 3 6 .4
1 5 0 M VAR ISSEL L 7 2 VERFE
485> 368>

+
714> 43>
Load21 990M W

LF
CUBNEL 7 2 SAUCA
659> 14> DONZAS7 1 482M W Load19 LF -7 5 M VAR
800M W Load18

LF
Change CP Para LF 30M W

LF
CUBNES7 1 1 9 4 M VAR 2 4 0 M VAR Load24
3 6 M VAR 340M W

CUBNEL 7 1 SAUCA
Load17 Load25 0 .9 8 /_ 9 .5

+
<7 0 8 <1 9 -8 0 M VAR
L F1 7 P=3 0 9 M W 1 .0 0 /_ 1 6 .3
LF V=4 0 0 k VRM SL L
Vs i n e _ z :VwZ1 8
VERFES7 1

BAIXAL 7 1 GAUDI

BAIXAL 7 2 GAUDI
+
Ph a s e :0

+
SAUCAS7 1 VwZ1 8
1207> 19>

Ph a s e :0
0 .9 9 /_ 1 4 .8 1289> 6>
update voltage +

L F2 2

+
VwZ1 9
1 .0 0 /_ 1 9 .7

LF
612M W 4 1 2 k VRM SL L /_ 0

LF

CANTEL 7 2 SAUCA
1 6 5 M VAR PVb u s :L F1 7 P=1 4 7 7 M W

CANTEL 7 3 SAUCA
+

+
Load23 V=4 0 0 k VRM SL L 4 1 2 k VRM SL L /_ 0

BAIXAL 7 1 GAUDI

BAIXAL 7 2 GAUDI
update PQ 7 2 3 > <6 6 7 2 3 > <6 6 Vs i n e _ z :VwZ1 9 PVb u s :L F2 2

+ A

A
BAIXAS

+
HVDC VSC-MMC
+ VM
View Steady-State ?v
CANTES7 1
0 .9 9 /_ 8 .1 0 .9 7 /_ -0 .2

BAIXAS

BAIXAL 7 1 VIC2
ARGIAL 7 1 CANTE
460M W

LF
+
7 0 M VAR
300M W

LF
system
Load16

BAIXAS_ HVDC1

BAIXAS_ HVDC2
+ A
9 8 1 > <2 9 9 7 5 M VAR
Load15

+ A
+
ARGIAS7 1
1 .0 4 /_ -1 .8

0 .9 7 /_ -0 .2 0 .9 7 /_ -0 .2
312M W

LF
1 2 2 M VAR
Load26
HVDC1 HVDC2

ARGIAL 7 1 .HER2
+

VSC-HVDC

VSC-HVDC
TL M 5 9

<1 1 1 8 6 4 8 >
6 5 2 > <5 5 7

+
CP
1 .0 9 /_ -5 .5

ARGIAL 7 1 HER2
VIC
+VM
?v

A
+
HERNANI
+VM VIC
?v 1 .0 6 /_ -1 4 .4
HERNANI

+
1 .0 9 /_ -5 .5
1 .0 7 /_ -1 4 .0 1 .0 7 /_ -1 4 .0

6 0 > <1 0 1
1000M W

LF

L L OGAIA_ HVDC1

L L OGAIA_ HVDC2
TL M 1 6

CP
3 0 0 M VAR

TL M 3 1

4 7 > <9
Load4

CP
8 1 7 > <1 5 5
TL M 2 9

+ A
CP

+
L L OGAIA

CP
+ VM
?v

+
L L OGAIA
1 .0 7 /_ -1 4 .0

<1 0 9 4 <1 9 9
+
TL M 1 5

TL M 1 9
CP

TL M 1 8

<3 4 2 <2
500M W

LF
+ CP 2 0 0 M VAR
<1 0 4 1 1 >

9 8 > <2 8 1

CP
Load6

TL M 5

1 .1 1 /_ -1 8 .6
CATAL U1
4000M W Fa u l t

+
1 1 0 0 M VAR

+
Ph a s e :0 GEN_ CAT1 CATAL U2
TL M 1 2
LF Load5
P=4 5 0 0 M W 1 .0 7 /_ -2 0 .7

+
V=2 0 .8 k VRM SL L LF +
5 4 2 > 4 7 5C>
P

CP
7000M W

GEN_ CAT1

LF
0 .0 5 /_ -1 8 .0
Vs i n e _ z :VwZ2

2
2 0 0 0 M VAR

2 0 /4 2 4
Load7
AVR_ 4 GEN_ CAT1 2 0 /4 2 8

1
AVR+Gov ?m GEN_ CAT2
P_ VASCO -e x c . s t1
SM 1 2 0 .0 5 /_ -1 5 .7

PVb u s :GEN_ CAT2


1 .0 9 /_ -0 .8 -p s s 1 a

?m
CP
20k V
-i e e e g 3

GEN_ CAT2
PVb u s :GEN_ VASC
5000M W 4 5 0 0 M VA

LF

SM
1 4 0 0 M VAR PVb u s :GEN_ CAT1

5 0 0 0 M VA
2
2 0 /4 2 8
Load3
GEN_ CAT2

7 0 0 0 M VA

LF
CP

20k V
TL M 2

20k V
CP P=5 0 0 0 M W
+ CP

CP
<1 2 4 3 6 > V=2 0 .6 k VRM SL L
GEN_ VASC Vs i n e _ z :VwZ3

AVR+Gov
4 3 > <5 0 9
Ph a s e :0

AVR_ 5
ASTURIAS 0 .0 5 /_ 4 .9

TL M 9

-e x c . s t1
TL M 1 7

-i e e e g 3
1 .1 1 /_ -2 .6

<3 <3 6 7

-p s s 1 a
TL M 1 3

5 6 > <3 0 9
?m
GEN_ VASC
3000M W
LF

LF
8 0 0 M VAR GEN_ VASC

SM
Load1

+
P=7 0 0 0 M W

TL M 1 1
GEN_ L EV1

<4 8 4 <9 6
V=2 0 .8 k VRM SL L
2 0 /4 3 2
2

VwZ4

+
Vs i n e _ z :VwZ4 P=7 5 0 0 M W
LF

CP
V=2 0 .8 k VRM SL L

0 .0 5 /_ -1 2 .8
Ph a s e :0
1

GEN_ L EV1
2 0 k VRM SL L /_ 0 Vs i n e _ z :VwZ4
GEN_ AST PVb u s :GEN_ VASC Ph a s e :0

CP
2 0 /4 2 8
PVb u s :GEN_ AST

AVR+Gov
0 .0 5 /_ 2 .2 AVR_ 7

+
GEN_ L EV1

AVR_ 9
?m

-e x c . s t1
CP
AVR+Gov

-i e e e g 3
GEN_ AST

-p s s 1 a
?m
6 0 0 0 M VA

<1 3 5 <2 2 1

TL M 1 0
TL M 1 CP 2 1 -e x c . s t1

1 .1 0 /_ -1 8 .8
SM

<9 2 8 1 8 1 >
GEN_ AST SM

<2 3 3 2 9 3 0 >

L EVANT1
-p s s 1 a
LF

20k V

CP

TL M 3
-i e e e g 3
P=6 0 0 0 M W TL M 1 4
+

V=2 1 k VRM SL L 20k V


VwZ2

Vs i n e _ z :VwZ2 + 7 5 0 0 M VA
<1 0 2 <7 2 TL M 2 1
+

+
PVb u s :GEN_ L EV1
TL M 4

<2 8 0 <4 0 0

Ph a s e :0
AVR+Gov

+
AVR_ 1

2 0 k VRM SL L /_ 0 +
-e x c . s t1

TL M 2 8 <9 7 6 <1 4 6
-i e e e g 3

Load8
PVb u s :GEN_ AST
-p s s 1 a

LF
+ CP
4 4 0 > <3 9 3 CENTRO
+

6000M W
1 .0 8 /_ -4 1 .5
1 0 0 0 M VAR

TL M 6 Ca p a

2
PORTUG2 CP

2 0 /4 2 8
TL M 7 P Q 9000M W

LF
1 .0 8 /_ -1 6 .7 +

<3 8 2 <3 1 1
CP
7 3 1 > <2 7 6 2 5 0 0 M VAR

TL M 2 7

CP
4 0 0 k VRM SL L

Load10
+ CP
<6 7 1 1 8 > 0M W
LF

6000M W GAL ICIA


2 0 0 0 M VAR 1 .0 8 /_ -4 .0 -1 0 0 0 M VAR

<2 3 0 <1 8 2
Load14

TL M 2 4
2 0 /4 3 2
2

3 5 0 > <8 5 7
GEN_ CENT
3700M W
LF

0 .0 5 /_ -4 0 .5
1

2 0 /4 1 6
2

1 0 0 0 M VAR
TL M 2 3
Load2

GEN_ POR2
1
PVb u s :GEN_ POR2

0 .0 5 /_ -1 0 .3

+
+
GEN_ CENT

VwZ1 0
+
?m

LF
GEN_ GAL L EVANT2
GEN_ POR2

0 .0 5 /_ 0 .0 1 .0 8 /_ -2 2 .0
5 0 0 0 M VA
SM

P=3 0 0 0 M W
+
VwZ3

GEN_ POR2 V=2 0 k VRM SL L 2 0 k VRM SL L /_ 0

2 0 /4 1 2
2
LF

CP
20k V

Vs i n e _ z :VwZ1 0 PVb u s :GEN_ CENT 5000M W

LF
2 0 k VRM SL L /_ 0 Ph a s e :0 7 0 0 M VAR
+

CP
1
P=5 0 0 0 M W
VwZ1

PVb u s :GEN_ POR2 GEN_ GAL

CP
Load9
LF

V=2 0 .8 k VRM SL L
CP

CP
Vs i n e _ z :VwZ3 GEN_ L EV2
AVR+Gov

Sl a c k : 2 0 .8 k VRM SL L /_ 0 2 0 k VRM SL L /_ 0
AVR_ 6

0 .0 5 /_ -2 0 .8
-e x c . s t1

Ph a s e :0 Vs i n e _ z :VwZ1 Sl a c k :GEN_ GAL


-i e e e g 3
-p s s 1 a

5 2 7 > <3 9
TL M 8

+
GEN_ L EV2

VwZ7
LF
P=7 0 0 0 M W

CP
+

V=2 0 .8 k VRM SL L 2 0 k VRM SL L /_ 0


Vs i n e _ z :VwZ7 PVb u s :GEN_ L EV2
Ph a s e :0

TL M 2 0

<1 2 9 <1 5
TL M 2 6

TL M 2 2

1 2 8 > <3 3 2 0
3 > <8 2 8

<6 5 <9 2 0
TL M 2 5

+
+

+
+
M ARRUECO SUR
PORTUG1 1 .1 2 /_ -5 5 .9 TL M 3 0 1 .1 2 /_ -5 1 .1
1 .1 1 /_ -4 .7
LF

1300M W 9900M W

LF
2 0 /4 2 8
+ CP
<6 0 7 4 2 >

2
2 8 0 M VAR 2 6 0 0 M VAR
2 0 /4 4 0
2

Load12
LF

Load11
5000M W

1
2 0 0 0 M VAR
1

Load13

2
2 0 /4 1 2

GEN_ SUR
GEN_ POR1
0 .0 5 /_ -4 7 .4
1

0 .0 5 /_ 0 .1
GEN_ M ARR

+
0 .0 5 /_ -5 0 .3 GEN_ SUR
+

VwZ8
VwZ1 1

LF
GEN_ POR1
LF

P=1 0 5 0 0 M W
P=6 0 0 0 M W
+

2 0 k VRM SL L /_ 0 V=2 0 .8 k VRM SL L


VwZ9

2 0 k VRM SL L /_ 0
LF

V=2 0 .8 k VRM SL L GEN_ M ARR


PVb u s :GEN_ POR1 Vs i n e _ z :VwZ8 PVb u s :GEN_ SUR
Vs i n e _ z :VwZ1 1
P=7 0 0 M W Ph a s e :0
Ph a s e :0
V=2 0 .6 k VRM SL L 2 0 k VRM SL L /_ 0
Vs i n e _ z :VwZ9 PVb u s :GEN_ M ARR
Ph a s e :0
Q scope Qred Q scope Qond
P scope Pred P scope Pond

HVDC
MMC MMC
LF_initialization_rectifier
LF_initialization_inverter
IC IC
Special LF initialization 0.00/_0.0 Special LF initialization
p1 p2
PQ tool for HVDC tool for HVDC PQ
PQm1 PQm2
50Hz 50Hz

P/Q/V control P/Q/V control


Capa. Control Capa. Control

You might also like