You are on page 1of 62

CESVER Material Bibliogrfico de Apoyo Didctico

Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

MATERIAL DE APOYO
BIBLIOGRAFICO

Licenciatura:
INGENIERIA EN SISTEMAS
COMPUTACIONALES

Asignatura:
DISEO DIGITAL

Cuatrimestre:
QUINTO

Nombre del compilador:


ING. JUAN ALBERTO VAZQUEZ
GONZALEZ

ING JUAN ALBERTO VAZQUEZ G.


Pgina 1 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

INDICE

PRESENTACION
INTRODUCCION
MANEJO DE LA ANTOLOGIA

CODIGOS Y SISTEMAS NUMERICOS


1.1 Sistemas Numricos
1.2 Cdigos.

ALGEBRA DE BOOLE
2.1 Definicin y Lgica.
2.2 Definiciones axiomticas del Algebra de Boole.
2.3 Teoremas Fundamentales.
2.4 Funciones Booleanas.
2.5 Forma cannica y normalizada.
2.6 Compuertas Lgicas.

FAMILIAS LOGICAS
3.1 RTL, DTL
3.2 TTL
3.3 ELL
3.4 CMOS

SIMPLIFICACION DE FUNCIONES
4.1 Mtodo de mapa de karnaugh
4.2 Mtodo de Quine-McCluskey

SIMPLIFICACION DE FUNCIONES
5.1 Introduccin
5.2 Procedimiento de Diseo.
5.3 Sumador y Restador
5.4 Anlisis de circuitos combinatorios

CIRCUITOS SECUENCIALES
6.1 Introduccin
6.2 Flip-Flop
6.3 Flip-Flop controladores o reloj.
6.4 Disparo de Flip-Flops

ING JUAN ALBERTO VAZQUEZ G.


Pgina 2 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

GLOSARIO
BIBLIOGRAFIA
REFERENCIAS

ING JUAN ALBERTO VAZQUEZ G.


Pgina 3 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

INTRODUCCION

El presente material Bibliogrfico intenta resolver una de las tareas


cotidianas que el alumno enfrenta al inicio de cada cuatrimestre en la bsqueda
de material que lo ayude solventar las necesidades propias a esta materia. He
pretendido apoyarme con el programa correspondiente sin embargo es notable
el avance desmedido de tecnologa por lo que me veo en la necesidad de
ampliar este material a la situacin actual. Esperando cumplir mi cometido dejo
en tus manos este material que entre otras cosas incluye imgenes, referencias y
un reducido glosario que te ayudaran a reforzar tus conocimientos.
Me he tomado el atrevimiento de descartar las referencias de las cuales
extraje algunas fotos y solo he tomado en cuenta las referencias que fortalecern
y ampliaran tu aprendizaje.
Todos los libros que aparecen en la bibliografa son digitales y estn
colocados en la Biblioteca Virtual del CENTRO DE ESTUDIOS SUPERIORES DE
VERACRUZ (CESVER).
De acuerdo con el programa esta materia esta dividida en 7 unidades que
van desde el conocimiento de los microprocesadores de 4 bits hasta los
procesadores actuales QuadCore.
Seria una gran satisfaccin para mi y para el CESVER que tanto docente
como alumno se nutrieran de estos conocimientos y siguieran paso a paso esta
antologa.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 4 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

MANEJO DE LA ANTOLOGA

Cuantas veces no habr pasado por su cabeza la descabellada idea de


armar usted mismo sus luces secuenciales o manejar y crear robots pero sus
sueos se ven frustrados por el poco conocimiento electrnico, sin embargo
despus de que usted centre toda su atencin en la siguiente antologa, usted
tendr un 20% mas de posibilidad de crear dichos proyectos, esto se lo garantizo
porque la antologa propone los temas que a mi gusto son necesarios para la
creacin de cualquier proyecto digital requiriendo solamente de un genio como
usted y muchsimas ganas de trabajar, no esta por dems decirle que los temas
aqu tratados como en toda materia requieren de mucha disciplina y paciencia,
es una antologa reducida a unos cuantos temas pero simplificada de forma tal
que pueda ser entendible a cualquier cabeza humana.
La antologa consta de 6 captulos que incluyen prcticas de laboratorio en
las cuales haciendo uso de su material electrnico usted podr conocer ms de
cerca la electrnica digital.
Cada frase que a mi gusto resulta difcil de entender en el lenguaje popular
ha sido marcada y encerrada en un recuadro gris y adems aparece una
definicin en el glosario que se localiza en el final de la antologa.
Las referencias que aparecen es posible que experimenten cambios
debido a que la mayora son de escuelas particulares y de gobierno, sin
embargo el material bibliogrfico que se presenta y algunos otros libros que usted
pueda recopilar a lo largo de los temas estoy segur que le sern tiles para
desarrollas estos temas de la mejor manera.
Al mismo tiempo que se elaboraba esta antologa imparta la materia en el
CENTRO DE ESTUDIOS SUPERIORES DE VERACRUZ (CESVER) y puedo decir que el
resultado de hacer uso de este material fue perfecto a mi parecer, por eso
garantizo la eficacia de la antologa siempre y cuando se incluyan las practicas
de laboratorio y se utilicen simuladores para reforzar el conocimiento.
Es un honor dejar en manos del (CESVER) y para el mejor desempeo de sus
alumnos este material que cubre al 100% lo necesario en el programa de estudios
vigente.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 5 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

OBJETIVO(S) GENERAL(ES) DE LA ASIGNATURA

Al terminar el curso el alumno debe:


Explicara los fundamentos tericos de los sistemas digitales que le
permitan construir circuitos digitales a partir de la teora de los
elementos lgicos

CRITERIOS Y PROCEDIMIENTOS DE EVALUACIN Y ACREDITACIN

Evaluacin sobre experiencias de aprendizaje 20%


Examen parcial 40%
Examen Final 50%

ING JUAN ALBERTO VAZQUEZ G.


Pgina 6 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

Capitulo I
CODIGOS Y
SISTEMAS
NUMERICOS

1.1 Sistemas Numricos.


1.2 Cdigos.
Cdigos.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 7 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

INTRODUCCION:

Las formas de notacin numrica que han existido han ido adaptndose a
las diversas necesidades as por ejemplo en los relojes encontramos el
sistema decimal, en las computadoras el binario y para grandes cantidades
ya no se es binario, sino hexadecimal y finalmente en algunos textos
antiguos encontrara la numeracin romana para el ndice. Esto es importante
que el ingeniero en sistema lo conozca y ahora es cuando haremos uso de
esos sistemas como un antecedente para poder entender el diseo digital.
Las primeras formas de notacin numrica consistan simplemente en
lneas rectas, verticales u horizontales; cada una de ellas representa el
numero 1. Por lo que este sistema dificultaba el manejo de grandes nmeros
y las operaciones entre estos. Ya en el ao 3400 a.C. en Egipto y
Mesopotamia se utilizaba un smbolo especfico para representar al nmero
10.
En la notacin cuneiforme de babilonia el smbolo utilizado para el 1, era
el mismo para el 60 y sus potencias, el valor del smbolo vena dado por su
contexto.
En la antigua Grecia coexistieron dos sistemas de numeracin paralelos.
El primero de ellos estaba basado en las inciales de los nmeros, el
(delta) el 100 (PI); el 10 con la letra nmero 5 se indicaba con la letra
(mu).(chi) y el 1000 con la letra (eta); el 1000 con la letra con la letra.
En el segundo sistema eran usadas todas las letras del alfabeto griego
ms otras tres tomadas del alfabeto fenicio como guarismos.
La ventaja de este sistema era que con poca cantidad de nmeros se
podan expresar grandes cifras; pero haba que saberse de memoria un total
de 27 smbolos.

OBJETIVO:

Conoceremos la importancia de los sistemas numricos mostrando mayor


inters en los utilizados en la informtica.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 8 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

CODIGOS Y SISTEMAS NUMERICOS


La codificacin o representacin de los nmeros es importante porque afecta a
la aritmtica. Es decir una representacin puede facilitar o complicar una
determinada operacin aritmtica (suma, resta, multiplicacin, divisin, etc.)
Por ejemplo: en el sistema de nmeros romanos, que posee las unidades 1, 5,
10, 50, 100, 500, 1000 denotada por los smbolos I, V, X, L, C, D, M
respectivamente, un nmero se representa como una serie de estos smbolos en
orden descendente de valores de izquierda a derecha. Por ejemplo: 1525 se
representa como MDXXV. Para abreviar algunas representaciones complicadas,
se permite que un smbolo represente un valor negativo, cuando aparece a la
izquierda de un smbolo mayor, por ejemplo: XIIII se escribe como XIV, CCCCL se
escribe como LD. En este sistema, es complicado representar nmeros en
extremo largos.
Otros sistemas de representacin son los sistemas posicionales. En estos
sistemas el valor representado por cada smbolo no slo depende de la forma del
smbolo sino tambin de su posicin dentro de la representacin. Por ejemplo: en
el sistema posicional decimal usual, el smbolo 3 en el nmero 333 representa un
valor distinto en cada posicin, el 3 de ms a la izquierda representa el valor 300,
el del medio representa 30 y el de ms a la derecha representa al 3. En este
sistema la aritmtica es bastante sencilla. Por ejemplo: el algoritmo de suma que
aprendimos en la escuela, se puede resumir en una tabla que slo tiene en
cuenta una posicin de los nmeros a sumar y el acarreo producido por la
posicin anterior y describe cul es el resultado para esa posicin y el acarreo
para la siguiente posicin.

1.1 Sistemas Numricos.


Numricos.
Existe una enorme cantidad de sistemas numricos, pero solos limitaremos
nuestro estudio a los sistemas ms conocidos:
Numeracin Romana
Este sistema (tambin conocido por nosotros) tuvo el mrito de ser capaz de
expresar los nmeros del 1 al 1.000.000 con solo siete smbolos: I para el 1, V
para el 5, X para el 10, L para el 50, C para el 100, D para el 500 y M para el
1000. Es importante acotar que una pequea lnea sobre el nmero multiplica su
valor por mil.
En la actualidad los nmeros romanos se usan para la historia y con fines
decorativos. La numeracin romana tiene el inconveniente de no ser prctica
para realizar clculos aritmticos.
Numeracin Arbiga y sistema decimal
El sistema corriente de notacin numrica que es utilizado hoy y en casi todo
el mundo es la numeracin arbiga. Este sistema fue desarrollado primero por
los hindes y luego por los rabes que introdujeron la innovacin de la notacin
posicional
En este sistema a cada smbolo que utilizamos para representar un nmero lo
llamamos dgito y a cada posicin de dgito le asociamos un peso. Por ejemplo el
valor D de un nmero decimal de 4 dgitos d d d d es:

ING JUAN ALBERTO VAZQUEZ G.


Pgina 9 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

D = d 10 + d 10 + d 10 +d .


Cada dgito di tiene asociado un peso de 10 . As, el valor de 6952 se obtiene
como sigue:
6952 = 6 1000 + 9 100 + 5 10 + 2 1
Utilizamos un punto decimal para indicar el inicio de potencias negativas para
las posiciones que se encuentran a la derecha de la coma. As d d . d
 d

representa el valor:
D = d 10 + d 10 . d
 10
 +d
 10
.
Por ejemplo, el valor 25,34 se obtiene como sigue:
25,34=2 10 + 5 1 + 3 0,1 + 4 0,01
Entonces se cumple la expresin tal que:





En donde N = Numero, i = Posicin, a = Coeficiente, n = numero de dgitos, R =
Raiz o base. Para expresarlo de un modo mas grafico podemos emplear el
siguiente ejemplo que ilustra un caso en donde se hace uso de decimales.

Adems del sistema decimal existen otras bases de notacin posicional que
son empleadas en los sistemas digitales como:
Binario o base 2: que consta de solo dos smbolos 0 y 1.
Octal o base 8: consta de ocho smbolos (0, 1, 2, 3, 4, 5, 6, 7) y es una
representacin corta del binario y por ejemplo 111101110(2) = 756(8). Para las
mquinas es ms fcil trabajar con unos y ceros que representaran voltaje o no
voltaje mientras que para nosotros es ms cmodo decir solo 756 en lugar de
todo el nmero binario.
Hexadecimal o base 16: consta de 16 smbolos (0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A,
B, C, D, E, F), es la representacin corta mas usada del binario y Ejemplo
111101111010(2) = F7A(16).
La conversin es muy fcil lo practicaremos ahora. Convertiremos nmero de
bases distintas a base 10.
a) 101111   (Se esta convirtiendo de binario a decimal)
De forma muy simple utilizaremos los 1 con sus respectivas potencias e
iremos sumando los resultados hasta llegar a un resultado final. Resultando:
 12  02  12  12  12  12 
 32  0  8  4  2  1 47
b) 217   (Se esta convirtiendo de octal a decimal)
Ahora utilizaremos los distintos smbolos numricos (0-7) con sus respectivas
potencias e iremos sumando los resultados hasta llegar a un resultado final.
Resultando:

ING JUAN ALBERTO VAZQUEZ G.


Pgina 10 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

 28  18  78 


 264  18  7 143
c) 1!"#   (de hexadecimal a decimal)
Procedemos de igual forma que la vez anterior solo que ahora utilizando
nmeros de (0-15):
 116  1116  1516 
 1256  1116  15 431
En un nmero de notacin posicional el dgito ms significativo es la tiene la
ponderacin ms alta (MSD) y se encuentra ms a la izquierda y el dgito menos
significativo es la que tiene es la tiene la ponderacin ms baja (LSD) y se
encuentra ms a la derecha. En el caso del sistema binario se le llama Bit (Dgito
Binario). En el caso binario el bit es el elemento mas pequeo que existe
posteriormente lo sigue el byte que corresponde a 8 bits.
En el numero binario 100000 el 1 es el digito mas significativo y el ultimo 0 es
el digito menos significativo.
Otro procedimiento para convertir los elementos de una base a decimal
consiste en multiplicar el MSD o MSB (ms significativo dgito o ms significativo
Bit) por la base y el producto se suma al valor del dgito siguiente, el resultado se
multiplica de nuevo por la base y el producto se suma al dgito siguiente y as
sucesivamente hasta llegar al LSD o LSB de modo que el resultado de todas las
operaciones es el nmero equivalente decimal. Aqu se muestran algunos
ejemplos:
Ejemplo 1 convertir un nmero binario a decimal:
1011011 (2) N(10)

Ejemplo 2 convertir un nmero octal a decimal:


357 (8) N(10)

Ejemplo 3 convertir un nmero Hexadecimal a decimal:


2AD (16) N(10)

ING JUAN ALBERTO VAZQUEZ G.


Pgina 11 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.
Ejemplo 4 convertir un nmero de base seis a decimal:
153 (6) N(10)

Ahora nos corresponde ver el sistema contrario, nuestra intencin estar


basada en convertir de base 10 a una potencia distinta para esto haremos uso de
dos de los siguientes mtodos.
Para nmeros con decimales el mtodo de Extraccin de potencias.
Para nmeros enteros el mtodo de los Residuos.
Utilizando el mtodo de extraccin de potencia se seguirn los siguientes
pasos:
Preferentemente para nmeros con decimales.
La aplicacin de este mtodo puede realizarse en tres pasos
Primero elaborar una tabla de potencias de la base ala cual se va a convertir
el nmero decimal.
Segundo restar sucesivamente al nmero en base diez la potencia igual o
prxima menor hasta que la diferencia sea igual a cero
Tercero con las potencias utilizadas en la resta formar el numero.
Aqu se ilustra un ejemplo del procedimiento a seguir:
25.5(10) N(2)
a) Tabla de potencias de base 2
-2
2 = 0.25
2-1 = 0.5
20 = 1 En donde el rango de valores asignado a la tabla para efectuar la resta
21 = 2 deber cubrir de un valor menor a 0.5 que representa la parte mas
22 = 4 pequea de numero 25.5 la potencia requerida es 2-2 = 0.25 y un valor
23 = 8 mayor a 25 como 25 = 32.
24 = 16
25 = 32
b) Resta sucesiva
2-2 = 0.25
2-1 = 0.5
20 = 1
21 = 2
22 = 4
23 = 8
24 = 16
25 = 32

ING JUAN ALBERTO VAZQUEZ G.


Pgina 12 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

c) Formar el numero

El resultado es 25.5
(10) 11001.1
(2)
De forma similar para una base octal pero debemos de tener en cuenta que
ahora los mltiplos ya pueden estar entre 0-7 por ejemplo:
47.5(10) N(8)
a) Tabla de potencias de base 8
8-1 = 0.125
El rango de valores asignado a la tabla de un valor menor a 0.5, la
80 = 1
1 potencia requerida es 8-1 = 0.125 y un valor mayor a 37 como 82
8 = 8
= 64.
82 = 64

b) Resta sucesiva
8-1 = 0.125
80 = 1
81 = 8
82 = 64
c) Formar el numero

El resultado es 47.5
(10) 57.4
(8)
Y finalmente para no dejar en blanco esta serie de procedimientos tratare el
caso de los nmeros hexadecimales.
Ejemplo 3 convertir un numero decimal a Hexadecimal
61.5(10) N(16)
a) Tabla de potencias de base 16
16-1 = 0.0625 El rango de valores asignado a la tabla de un valor menor a 0.5, la
160 = 1 potencia requerida es 16-1 = 0.0625 y un valor mayor a 61 como

ING JUAN ALBERTO VAZQUEZ G.


Pgina 13 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

161 = 16 162 = 256.


162 = 256

b) Resta sucesiva
16-1 = 0.0625
160 = 1
161 = 16
162 = 256

c) Formar el numero

El resultado es61.5 (10) 3D.8 (16)


Como se dar cuenta hemos realizado conversiones aun cuando los elementos
han sido decimales. Otro procedimiento muy utilizado esta basado en ir
utilizando los residuos procedentes de las divisiones entre la base e ir ordenando
los valores (provenientes de los residuos) del ltimo al primer elemento. El
cociente encabezara la lista siendo el primer elemento de nuestra conversin.
Como en todos los caso se sigue el mismo procedimiento solo realizare uno en
base 5 y a partir de este dar por hecho que usted ha entendido el
procedimientos, en caso contrario lo invito a visitar la primera de las referencias
en donde aparece este y otros ejemplos:
Ejemplo 4 convertir un numero decimal a base cinco
58 (10) N(5)
Obtenemos por respuesta:
58 (10) 213(5)
Podemos realizar tambin conversiones de
una base a otra utilizando alguno de los procedimientos que son mostrados en
cada uno de los ejemplos:
De binario a Octal.
En este caso de han agrupado en elementos de
tres y se ha convertido cada uno de los grupos
obtenidos para obtener las expresin que finalmente
ser el resultado de la conversin.
En este caso de proporciono el numero binario y
se obtuvo por resultado el numero octal.
De octal a binario

ING JUAN ALBERTO VAZQUEZ G.


Pgina 14 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

Este caso es parecido solo que se ha invertido no


creo conveniente ampliar en la explicacin del
mtodo ocupado.

De Binario a decimal
Aqu se ha agrupado en elementos de cuatro y se ha
obtenido por resultado un numero hexadecimal.

De Binario a decimal
Se ha realizado el procedimiento inverso a el
anterior tomando de base el hexadecimal y obteniendo
un resultado binario.

1.2 Cdigos.
Cdigos.
Todo lenguaje utiliza cdigos y en este subcaptulo estaremos interesados por
los cdigos binarios, los cdigos que mas se utilizan son mostrados a
continuacin.
Decimal Cdigo BCD: Este cdigo es utilizado para la representacin de 0-9
en decimal y es utilizado por ejemplo en calculadoras en las cuales es necesario
mostrar el display un 8, 0, 4, etc.
Su forma de representacin es bien sencilla, consiste en la representacin de
los nmeros de acuerdo con sus similares en binario, as por ejemplo un nueve
es 1001(9) mientras que un 3 es 0011(3).
Cdigo Exceso 3: Este cdigo est relacionado con el cdigo BCD y usado a
veces en lugar de l porque posee ventajas en ciertas operaciones aritmticas. El
cdigo exceso-3 para un nmero decimal se ejecuta de la misma manera que en
BCD excepto que se aade 3 a cada dgito decimal antes Cdigo Cdigo
de codificarlo en binario. Decimal binario Gray
Por ejemplo, para codificar el nmero decimal 4 en el 0 0 0
cdigo exceso-3, debemos primero aadir 3 para obtener 1 1 1
7. Luego el 7 se codifica en cdigo binario equivalente de 4 2 10 11
bits para obtener 0111. 3 11 10
4 100 110
Cdigo Gray: Pertenece a una clase de cdigos
5 101 111
llamados cdigos de cambios mnimo, en los cuales slo 6 110 101
cambia un bit en el grupo codificado cuando se va de un 7 111 100
paso al siguiente. El cdigo Gray es un cdigo no 8 1000 1100
ponderado, significando que las posiciones de los bits en 9 1001 1101
los grupos codificados no tienen un peso especfico 10 1010 1111
asignado. Debido a esto, el cdigo Gray no es apropiado 11 1011 1110
para operaciones aritmticas, pero encuentra aplicaciones 12 1100 1010
en dispositivos de entrada/salida y en algunos tipos de 13 1101 1011
14 1110 1001
convertidores analgicos a digital.
15 1111 1000
ING JUAN ALBERTO VAZQUEZ G.
Pgina 15 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

La siguiente tabla muestra la representacin en cdigo Gray para los nmeros


decimales 0 al 15, junto con el cdigo binario directo. Si examinamos los grupos
codificados Gray para cada nmero decimal, puede verse que al ir desde
cualquier nmero decimal al siguiente, slo un bit del cdigo Gray cambia. Por
ejemplo, al ir desde 3 a 4, el cdigo Gray cambia de 0010 a 0110, con solo el
segundo bit desde la izquierda experimentando cambio. Yendo de 14 a 15 los bits
del cdigo Gray cambian de 1001 a 1000, con la sola variacin en el ltimo bit.
Esta es la principal caracterstica del cdigo Gray. Compare esto con el cdigo
binario en el cual de uno a todos los bits cambian al pasar de un nmero al
siguiente.
El procedimiento para convertir cualquier nmero binario en cdigo gray es el
siguiente:
1. El primer bit del cdigo Gray es el mismo como el primer bit del nmero
binario.
2. El segundo bit del cdigo Gray es igual a la operacin O EXCLUSIVA del
primer y segundo bits del nmero binario; esto es, ser 1 si estos bits
del cdigo binario son diferentes y 0 si son los mismos.
3. El tercer bit del cdigo Gray es igual a la O EXCLUSIVA del segundo y
tercer bits del nmero binario y as sucesivamente.
Cdigo ASCII:
Muchas de las aplicaciones de las computadoras digitales requieren la
manipulacin de datos que constan no slo de nmeros, sino tambin de letras.
Para representar cadenas de caracteres alfabticos es necesario tener un cdigo
binario para el alfabeto. Adems el mismo cdigo binario debe representar
nmeros y algunos otros caracteres especiales.
Un cdigo alfanumrico es un cdigo binario de un grupo de elementos que
constan de diez dgitos decimales, las 26 letras del alfabeto y cierto nmero de de
smbolos especiales como el $. El nmero total de elementos en un grupo
alfanumrico es mayor de 36. Por lo tanto debe codificarse con un mnimo de seis
bits (2# = 64, pero 2 = 32 no es suficiente).
Para superar los inconvenientes de la representacin binaria real, se han
desarrollado varios cdigos en base binaria de longitud fija. Estos cdigos ponen
a disposicin de la computadora letras y otros tipos de caracteres, as como
nmeros en forma binaria. Debido a que son cdigos de longitud fija, la
computadora puede con facilidad decir cundo termina un carcter y empieza
otro.
El ASCII (The American Standard Code for Information Interchange, Cdigo
Estndar estadounidense para el intercambio de informacin) es un cdigo
desarrollado por el Instituto Estadounidense de Normas y fue diseado
originalmente como un cdigo de 7 bits que poda representar 128 caracteres.
El ASCII, es un cdigo de siete bits que nace de la necesidad de representar
digitos decimales, letras minusculas, letras maysculas y gran nmero de
caracteres adicionales que antes no se podan expresar usando las 64
combinaciones del BCD. El ASCII se usa de forma muy extensa en la
comunicacin de datos y es el cdigo que se utiliza para representar los datos
internamente en las computadoras personales.
ING JUAN ALBERTO VAZQUEZ G.
Pgina 16 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

El cdigo ASCII es un cdigo consta de siete bits, pero en la prctica es un


cdigo de ocho bits debido a que de manera invariable se agrega un bit por
paridad.

ACTIVIDADES DE APRENDIZAJE

Realice con sus compaeros una serie de ejercicios en grupo de lo visto en


la unidad y cuando todos los que hayan participado en la solucin de
ejercicios se sientan lo suficientemente preparados para trabajar proceda a
resolver la serie de ejercicios que le propongo en forma individual.

Evaluacin:

Complete la siguiente tabla.


DEC BINARIO HEX OCT EXCESO-3 GRAY(solo parte entera)
1 25.5
2 4D.4
3 1000111
4 3.5
5 110010

ING JUAN ALBERTO VAZQUEZ G.


Pgina 17 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

Capitulo II
ALGEBRA
DE BOOLE

2.1 Definicin y Lgica.


2.2 Definiciones axiomticas del Algebra de Boole.
2.3 Teoremas Fundamentales.
2.4 Funciones Booleanas.
2.5 Forma cannica y normalizada.
2.6 Compuertas Lgicas.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 18 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

INTRODUCCION:

A mediados del siglo XIX, George Boole (1815-1864), en sus libros: "The
Mathematical Analysis of Logic" (1847) y "An Investigation of te Laws of
Thought" (1854), desarroll la idea de que las proposiciones lgicas podan
ser tratadas mediante herramientas matemticas. Las proposiciones lgicas
(asertos, frases o predicados de la lgica clsica) son aquellas que
nicamente pueden tomar valores Verdadero/Falso, o preguntas cuyas
nicas respuestas posibles sean S/No. Segn Boole, estas proposiciones
pueden ser representadas mediante smbolos y la teora que permite trabajar
con estos smbolos, sus entradas (variables) y sus salidas (respuestas) es la
Lgica Simblica desarrollada por l. Dicha lgica simblica cuenta con
operaciones lgicas que siguen el comportamiento de reglas algebraicas.
Por ello, al conjunto de reglas de la Lgica Simblica se le denomina
LGEBRA DE BOOLE.
A mediados del siglo XX el lgebra Booleana result de una gran
importancia prctica, importancia que se ha ido incrementando hasta
nuestros das, en el manejo de informacin digital (por eso hablamos de
Lgica Digital). Gracias a ella, Shannon (1930) pudo formular su teora de
la codificacin y John Von Neumann pudo enunciar el modelo de
arquitectura que define la estructura interna de los ordenadores desde la
primera generacin.
Todas las variables y constantes del lgebra booleana, admiten slo
uno de dos valores en sus entradas y salidas: S/No, 0/1 o Verdadero/Falso.
Estos valores bivalentes y opuestos pueden ser representados por
nmeros binarios de un dgito (bits), por lo cual el lgebra booleana se
puede entender cmo el lgebra del Sistema Binario. Al igual que en lgebra
tradicional, tambin se trabaja con letras del alfabeto para denominar
variables y formar ecuaciones para obtener el resultado de ciertas
operaciones mediante una ecuacin o expresin booleana. Evidentemente
los resultados de las correspondientes operaciones tambin sern binarios.

OBJETIVO:

Trabajar con operaciones lgicas haciendo uso de los teoremas y


axiomas del algebra de Boole.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 19 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

ALGEBRA DE BOOLE
El lgebra de Boole es una estructura algebraica desarrollada por el
matemtico George Boole (1815-1864) partiendo de sus investigaciones sobre las
leyes del pensamiento. Posteriormente, algunos matemticos perfeccionaron su
sistema y lo han utilizado en el diseo de los circuitos lgicos de las
computadoras. El lgebra de Boole nos permite simplificar las conexiones fsicas
de los circuitos lgicos de las computadoras, simplificando una expresin
algebraica usando los teoremas bsicos de esta estructura. Esto trae como
consecuencia la reduccin de hardware y por consiguiente, el espacio necesario
para alojarlo.

2.1 Definicin y Lgica.


Muchos componentes utilizados en sistemas de control, como contactos y
relevadores, presentan dos estados claramente diferenciados (abierto o cerrado,
conduce o no conduce). A este tipo de componentes se les denomina
componentes todo o nada o tambin componentes lgicos.
Para estudiar de forma sistemtica el comportamiento de estos elementos, se
representan los dos estados por los smbolos 1 y 0 (0 abierto, 1 cerrado). De esta
forma podemos utilizar una serie de leyes y propiedades comunes con
independencia del componente en s; da igual que sea una puerta lgica, un
relevador, un transistor, etc...
Atendiendo a este criterio, todos los elementos del tipo todo o nada son
representables por una variable lgica, entendiendo como tal aquella que slo
puede tomar los valores 0 y 1. El conjunto de leyes y reglas de operacin de
variables lgicas se denomina lgebra de Boole, ya que fu George Boole el que
desarroll las bases de la lgica matemtica.

2.2 Definiciones axiomticas del Algebra de Boole.


En un primer momento consideraremos en el algebra de Boole dos
operaciones, la suma (OR) y la multiplicacin (AND) o producto en ambas
operaciones se presentan una serie de axiomas que concuerdan con los
enunciados para la aritmtica, estos axiomas los mostrare a continuacin:
1. Ambas operaciones + y *, son conmutativas. Esto es, para cualquier
pareja de elementos x, y del conjunto B, se cumple que x + y = y + x;
x * y = y * x.
2. Cada una de las operaciones + y * es distributiva con respecto a la otra.
Esto es, para tres elementos cualesquiera x, y, z del conjunto B, se
cumple que x + (y * z) = (x + y) * (x + z) y que x * (y + z) = (x * y) + (x * z).
3. En el conjunto B existe un elemento neutro bien definido para cada una
de las operaciones + y *. Estos elementos se representan normalmente
con los smbolos 0 y 1 tal que 0 1, y tienen la propiedad de que
0 + x = x; 1 * x = x.
4. A cada elemento x del conjunto B le corresponde otro elemento llamado
complementario de x, que normalmente se representa con el smbolo

ING JUAN ALBERTO VAZQUEZ G.


Pgina 20 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

x. El elemento x cumple las siguientes propiedades con respecto a las


dos operaciones + y *: x + x = 0; x * x = 1.
El conocimiento de esto axiomas no ser de gran utilidad posteriormente
cuando necesitemos simplificar las operaciones lgicas.

2.3 Teoremas Fundamentales.


Los teoremas junto con los axiomas que hemos visto nos llevaran a crear
expresiones booleanas que mas adelante sern de utilidad para el diseo de
circuitos lgicos. Los teoremas fundamentales del Algebra de Boole han sido
citados en los siguientes puntos.
1. Si cualquier variable se multiplica (AND) con 0, el resultado tiene que
ser cero, o lo que es lo mismo la salida de una compuerta AND ser cero
siempre que cualquier entrada sea cero. Esto se asemeja a lo formulado
en el teorema 3. X * 0 = 0
2. De lo anterior se deduce que cualquier variable que se multiplica (AND)
con 1 el resultado ser esa misma variable. En la multiplicacin
ordinaria cualquier nmero multiplicado por 1 es igual a ese mismo
nmero. X * 1 = X.
3. Este teorema se pude demostrar ensayando cada caso. Si X = 0,
entonces 0 * 0 = 0; si X = 1, entonces 1 * 1 = 1. As X * X = X.
4. Este teorema se pude probar de la misma forma que el anterior. Sin
embargo, tambin puede razonarse que en cualquier momento, X o su
inversa X, tiene que estar en nivel cero, de modo que su producto AND
siempre tiene que ser cero. X * X = 0
5. El teorema es directo ya que 0, sumado a cualquier nmero, no altera
su valor en la suma comn o en la adicin OR. X + 0 = X.
6. Este teorema afirma que, si cualquier variable se suma (OR) con 1, el
resultado siempre ser 1. Verificamos esto con ambos valores de X:
0 + 1 = 1 y 1 + 1 = 1. De manera equivalente podemos recordar que la
salida de una compuerta OR ser 1 cuando cualquier entrada sea 1.
7. Este teorema se puede confirmar verificando los dos valores de X:
0 + 0 = 0 y 1 + 1 = 1 Por tanto X + X = X.
8. Este teorema se puede probar dando valores a X o simplemente
razonando: en cualquier instante X o X tiene que estar en nivel 1, de
manera que siempre la operacin ser OR con 0 y 1, dando como
resultado siempre 1. X + X = 1.
Se han enunciado los teoremas fundamentales y a manera de resumen se
ilustra la siguiente tabla en la cual se muestran todos y cada uno de los
teoremas.
TEOREMAS
1 X*0 =0
2 X*1 =X
3 X*X =X
4 X * X = 0
5 X+0 =X

ING JUAN ALBERTO VAZQUEZ G.


Pgina 21 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

6 X+1 =1
7 X+X =X
8 X + X = 1
9 X+Y = Y+X
10 X*Y=Y*X
11 X+(Y+Z) = (X+Y)+Z = X+Y+Z
12 X(YZ) = (XY)Z = XYZ
13a X(Y+Z) = XY +XZ
13b (W+X)(Y+Z) = WY +XY +WZ +XZ
14 X + XY = X
15 X + X Y = X+ Y
16 ''''''''''
%  & %( ) &'
17 '''''''''
% ) & %(  &'
Los teoremas 9 y 10 se denominan Leyes Conmutativas. Estas leyes indican
que no importa el orden en que operamos dos variables con OR y AND; el
resultado es el mismo.
Los teoremas 11 y 12 se denominan Leyes Asociativas. Las cuales afirman que
podemos agrupar las variables en una expresin AND o en una OR en la forma
que se desee.
El Teorema 13 es la Ley Distributiva. La cual afirma que una expresin puede
desarrollarse multiplicando trmino a trmino, como en el lgebra ordinaria. Este
teorema es importante porque con el podemos factorizar una expresin.
El Teorema 16 afirma que invertir la suma de dos variables es lo mismo que
invertir cada variable por separado y luego multiplicarlas (AND).
El Teorema 17 afirma que invertir el producto de dos variables es lo mismo
que invertir cada variable por separado y luego sumarlas (OR).

2.4 Funciones Booleanas.


En forma similar a como se define en los cursos de lgebra de nmeros reales,
es posible definir una relacin de dependencia de una variable booleana o
variable lgica con otras variables booleanas independientes. Es decir, es posible
definir funciones booleanas o funciones lgicas.
Definicin. Sean X1,X2,...,Xn, variables booleanas, es decir, variables que
pueden tomar el valor de 0 o de 1, entonces la expresin Y = f(X1,X2,...,Xn)
denota una dependencia funcional de la variable dependiente Y respecto a las
variables independientes X1,X2,...,Xn, es decir, el valor (0 o 1) que toma la
variable Y depende de la combinacin de n valores (1s y 0s) que tomen las n
variables X1,X2,...,Xn.
Un ejemplo de una funcin booleana es: f(x, y, z) = x + yz.
Podemos construir una tabla en base a los resultados obtenidos.
Utilizare en la tabla elementos abreviados as por ejemplo A1 quiere decir que
me refiero a el axioma1 y T5 quiere decir que me refiero a el Teorema 5. Es
importante hacer la observacin que se ha sustituido el valor negado de y por su
valor correspondiente como un proceso previo.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 22 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

X Y Z F(X, Y, Z) Observacin de la expresin Teorema(T) o axioma(A)


x+yz empleado
0 0 0 0 0+(1*0)=0 A1; T5
0 0 1 1 0+(1*1)=1 A3; T6
0 1 0 0 0+(0*0)=0 A1; T1,5
0 1 1 0 0+(0*1)=0 A1; T5,10
1 0 0 1 1+(1*0)=1 T1,6
1 0 1 1 1+(1*1)=1 T2,6
1 1 0 1 1+(0*0)=0 T1,6
1 1 1 1 1+(0*1)=0 T1,6,10

Bajo las mismas observaciones previas del ejercicio anterior podemos resolver
el siguiente ejemplo.
X Y Z F(X, Y, Z) Observacin de la expresin Teorema(T) o axioma(A)
xyz+xyz+xy empleado
0 0 0 0 (1)(1)(0)+(1)(0)(0)+(0)(1) T1,5
0 0 1 1 (1)(1)(1)+(1)(0)(1)+(0)(1) T1,2,5
0 1 0 0 (1)(0)(0)+(1)(1)(0)+(0)(0) T1,7
0 1 1 1 (1)(0)(1)+(1)(1)(1)+(0)(0) T1,2,6
1 0 0 1 (0)(1)(0)+(0)(0)(0)+(1)(1) T1,5,6
1 0 1 1 (0)(1)(1)+(0)(0)(1)+(1)(1) T1,5,6
1 1 0 0 (0)(0)(0)+(0)(1)(0)+(1)(0) T1,5
1 1 1 0 (0)(0)(1)+(0)(1)(1)+(1)(0) T1,5
A diferencia de las funciones de variable real, las cuales no pueden
representarse completamente usando una tabla de valores, las funciones
booleanas s quedan totalmente especificadas por una tabla que incluya todas las
posibles combinaciones de valores que pueden tomar las variables
independientes, dicha tabla se denomina tabla de verdad y es completamente
equivalente a la expresin booleana, ya que incluye todas sus posibilidades.

2.5 Forma cannica y normalizada.


Es aquella en que todos los trminos son cannicos y aparecen una sola vez.
Se tienen dos formas: una es los maxiterminos y otra los miniterminos.
En la tabla de verdad que se muestra a continuacin se expresan cada uno de
estos trminos.
Decimal A B C Minitrmino Maxitrmino
0 0 0 0 m0 a * b * c M0 a+b+c
1 0 0 1 m1 a * b * c M1 a + b + c
2 0 1 0 m2 a * b * c M2 a + b + c
3 0 1 1 m3 a * b * c M3 a + b + c
4 1 0 0 m4 a * b * c M4 a + b + c
5 1 0 1 m5 a * b * c M5 a + b + c
6 1 1 0 m6 a * b * c M6 a + b + c
7 1 1 1 m7 a*b*c M7 a + b+ c

ING JUAN ALBERTO VAZQUEZ G.


Pgina 23 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

Supongamos que se nos pide disear un circuito en el cual cuando exista un


numero par se active una alarma, tendremos que hacer uso de esta tabla en las
posiciones m2, m4, m6. Por lo tanto necesitamos un circuito que contenga la
expresin f(a,b,c)=(a)(b)(c)+(a)(b)(c)+(a)(b)(c) a esta expresin se le llama forma
canonca.
Hemos obtenido la expresin que nos hacia falta para cumplir con nuestro
cometido ahora procederemos a el diseo del circuito en el siguiente capitulo.
Menciono que es posible realizar una simplificacin de las expresiones
algebraicas pero esto ser visto en un capitulo posterior por el momento pasemos
a conocer las compuertas lgicas y su uso en el algebra de Boole.

2.6 Compuertas Lgicas.


Existen dispositivos tecnolgicos llamados PUERTAS LOGICAS que llevan a
cabo las funciones lgicas. Pueden tener 2 mas entradas. Las puertas bsicas
son:
FIGURA NOMBRE REPRESENTACION Integrado TTL
OR Suma Lgica 7432

AND Multiplicacin 7408


Lgica
NOT Negacin 7404

NOR Suma invertida 7402

NAND Multiplicacin 7400


invertida
XOR Suma exclusiva, las 7486
salidas son ceros
cuando las entradas
son iguales y son
unos cuando son
diferentes.
Con el conocimiento de las compuertas lgicas hemos dado fin a este capitulo,
el uso de las compuertas lgicas ser de gran utilidad para resolver ya con
circuitos integrados problemas que representan algebra lgica como circuitos de
conmutacin, etc.
Un ltimo caso en el cual se hace uso de compuertas para diseo lgico es el
siguiente:

Dado que el primer circuito corresponde a la expresin A(B+C), entonces por


lgica el segundo circuito tendr la expresin (A*B)+(A*C) y de acuerdo con el
teorema 13 se concluye que ambas expresiones son similares o lo que es lo
mismo los dos circuitos realizan la misma funcin.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 24 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

ACTIVIDADES DE APRENDIZAJE

Realice con sus compaeros una serie de ejercicios, haga uso de


compuertas lgicas y leds para la realizacin de practicas de laboratorio
en las cuales antes de realizarlas usted elabore tablas de verdad y las
compruebe en la practica.

Evaluacin:

Obtenga la tabla de verdad y disee el circuito lgico correspondiente de


la siguiente funcin lgica.
F=xyz+yz+x
Obtenga la expresin (funcin) valida para el siguiente circuito lgico,
tambin obtenga su tabla de verdad.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 25 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

Capitulo III
FAMILIAS
LOGICAS

3.1 RTL, DTL


3.2 TTL
3.3 ELL
3.4 CMOS

ING JUAN ALBERTO VAZQUEZ G.


Pgina 26 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

INTRODUCCION:

A la hora de construir las puertas lgicas, un criterio ampliamente seguido


(realmente en cualquier disciplina, no solo en Electrnica) es el criterio de
uniformidad, es decir, las diferencias entre las diferentes puertas lgicas
deben reducirse a las mnimas. Este criterio es la base de la definicin de
familia lgica,
Una familia lgica se puede definir como la estructura bsica a partir de la
cual se pueden construir las puertas lgicas.
En esta estructura estaran involucrados tanto los componentes que
entran en juego, as como sus valores (ya que si cambiamos estos valores,
pasaremos a otra familia diferente), ya que los parmetros van a depender
de estos.
Al centrarnos en Electrnica Digital, no debemos perder de vista que las
seales solo pueden tomar dos valores diferentes. Por lo tanto, los
elementos principales de estas familias lgicas deben tener como mnimo
dos regiones de operacin bien diferenciadas. Esta situacin nos lleva a la
utilizacin de dispositivos semiconductores, aunque en los principios se
utilizaron vlvulas y conmutadores elctricos (que presentaban un
comportamiento similar).

OBJETIVO:

Distinguir las diferencias en el uso de las familias de compuertas lgicas


atendiendo a sus caractersticas.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 27 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

FAMILIAS LOGICAS
Podemos realizar una clasificacin de las familias lgicas atendiendo a los
dispositivos semiconductores en los que se basa, as tenemos:
Familias bipolares.- emplean transistores bipolares y diodos, es decir,
dispositivos de unin.
n. Las familias bipolares m
mss representativas son las
familias TTL y ECL.
Familias MOS.- emplean transistores MOSFET, es decir, transistores de
efecto campo.. Las familias MOS mms s representativas son las familias
NMOS y CMOS.
Cada una de estas familias van a tener una serie de par
parmetros
metros cuyos valores
van a ser ms o menos bajos.

3.1 RTL, DTL

CIRCUITOS RTL.
RTL es el acrnimo ingls de Resistor Transistor Logic o Lgica de resistencia-
resistencia
transistor. Fue la primera familia lgica en aparecer antes de la tecnologa de
integracin. Pertenece a la categora de familias lgicas bipol
bipolares,
ares, o que implican
la existencia de dos tipos de portadores: electrones y huecos.
Este tipo de circuitos, evita el fenmeno denominado acaparamiento de
corriente que se produce cuando varios transistores se acoplan directamente y
sus caractersticas de entrada difieren ligeramente entre s. En ese caso uno de
ellos conducir antes que los dems colocados en paralelo (acaparar la
corriente), impidiendo el correcto
funcionamiento del resto.
En la siguiente figura se puede apreciar
como en serie con la base se de cada uno de los
transistores se ha colocado una resistencia de
compensacin (Rc) de un valor lo
suficientemente elevado para que la reparticin
de corrientes sea lo ms igualada posible y no
se produzca
uzca el fenmeno antes descrito, sin
embargo debido a la carga capacitiva de los
transistores el tiempo de conmutacin
aumenta.
CIRCUITOS DTL.
Las siglas DTL vienen de las inciales de las
palabras inglesas Diodo Transistor Lgico. Es
decir estamos tratando con una familia
compuesta bsicamente por diodos y
transistores (sin olvidar a las resistencias).
Los diodos se encargan de realizar la parte
lgica y el transistor acta como amplificador
inversor. Esta separacin de funciones nos
permite empezar a estudiar esta familia viendo

ING JUAN ALBERTO VAZQUEZ G.


Pgina 28 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

como se construye la lgica con los diodos.


En este caso se esta mostrando la misma compuerta NAND solo que ahora se
han utilizado diodos y hemos ahorrado los tres transistores.
De un anlisis electrnico de este circuito se comprueba que cuando los
voltajes en A, B, C son 0 la salida ser de 1 (5V). La forma de que exista una
seal es activando el transistor que no ser difcil basta con activar las tres
entradas con voltaje alto.

3.2 TTL
La tecnologa TTL tiene su origen en las inciales de Transistor-Transistor-
Logic Lgica Transistor-Transistor.
La familia de circuitos integrados TTL tiene como
caracterstica que la tensin o voltaje de alimentacin es
de +5 Voltios, con Vmn=4.75 Voltios y
Vmx=5.25Voltios
Su realizacin es con transistores bipolares
multiemisores.
El circuito funciona de la siguiente manera:
Si E1 o E2 estn a un nivel de tensin de 0
voltios, entonces el transistor conduce, y Z = 0 Voltios
Si E1 y E2 estn a un nivel de tensin de 5 voltios, entonces el
transistor no conduce, y Z = 5 Voltios
Estos dos argumentos son necesarios para ver que se trata de una compuerta
OR ya que basta que exista un voltaje en alto para que la puerta comience a
conducir.
La tecnologa usada en los circuitos TTL es el uso bsicamente de transistores
y algunos otros elementos y dispositivos. Un transistor es un dispositivo
electrnico semiconductor (semiconductor es una sustancia que se comporta
como un conductor o un aislante dependiendo del campo elctrico en el que se
encuentre, el semiconductor mas usado es el silicio) que cumple funciones de
amplificador, oscilador, conmutador o rectificador.
Los circuitos de tecnologa TTL se prefijan normalmente con el nmero 74 (54
en las series militares e industriales). A continuacin un cdigo de una o varias
cifras que representa la familia y posteriormente uno de 2 a 4 con el modelo del
circuito.
Con respecto a las familias cabe distinguir:
TTL : Serie estndar, 7432 (compuerta OR de tabla capitulo 2)
TTL-L (low power) : Serie de bajo consumo
TTL-S (schottky) : Serie rpida (usa diodos Schottky)
TTL-AS (advanced shottky) : Versin mejorada de la serie anterior
TTL-LS (low power shottky) : Combinacin de las tecnologas L y S (es la
familia ms extendida)
TTL-ALS (advanced low power shottky) : Versin mejorada de la serie AS
TTL-F (FAST : fairchild advanced schottky)
TTL-AF (advanced FAST) : Versin mejorada de la serie F

ING JUAN ALBERTO VAZQUEZ G.


Pgina 29 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

TTL-HC (high speed C-MOS) : Realmente no se trata de tecnologa TTL bipolar


sino CMOS
TTL-HCT (high speed C-MOS) : Serie HC dotada de niveles lgicos compatibles
con TTL.
Son estas las listas en las cuales podemos localizar un integrado con
tecnologa TTL la misma compuerta del capitulo 2 puede tener cualquiera de los
siguientes nombres: 7432, 74LS32, 74HC32, 74AF32, 74F32, etc. Y todas se
refieren a la misma compuerta pero con diferentes caractersticas.

3.3 ECL
ECL

La familia ECL (Lgica Acoplada en Emisor) son unos circuitos integrados


digitales los cuales usan transistores bipolares, pero a diferencia de los TTL en
los ECL se evita la saturacin de los transistores, esto da lugar a un incremento
en la velocidad total de conmutacin. La familia ECL opera bajo el principio de la
conmutacin de corriente, por el cual una corriente de polarizacin fija menor
que la corriente del colector de saturacin es conmutada del colector de un
transistor al otro. Este tipo de configuraciones se les conoce tambin como la
lgica de modo de corriente (CML; current-mode logic).
La configuracin tpica para este tipo de circuitos es mostrada a continuacin.

Habr de notar que tenemos un voltaje negativo, un nivel bajo corresponde a -


1.8V, mientras que un nivel alto oscila sobre -8V. Este circuito es mas complejo
que los anteriores pero quiero hacer notar que como ocurri en el primer
subtema se esta utilizando la base del transistor para activarlo.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 30 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

3.4 CMOS
CMOS (del ingls Complementary Metal Oxide Semiconductor,
"Semiconductor Complementario de xido Metlico") es una de las familias
lgicas empleadas en la fabricacin de circuitos integrados (chips). Su principal
caracterstica consiste en la utilizacin conjunta de transistores de tipo pMOS y
tipo nMOS configurados de tal forma que, en estado de reposo, el consumo de
energa es nicamente el debido a las corrientes parsitas.
En la actualidad, la inme inmensa
nsa mayora de los circuitos integrados que se
fabrican son de tecnologa CMOS. Esto incluye microprocesadores, memorias,
DSPs y muchos otros tipos de chips digitales.
En un circuito CMOS, la funcin lgica a sintetizar se implementa por
duplicado mediante e dos circuitos: uno basado exclusivamente en transistores
pMOS, y otro basado exclusivamente en transistores nMOS. El circuito pMOS es
empleado para propagar el valor binario 1 , y el circuito nMOS para propagar el
valor binario 0. Vase la figura. Repres
Representa
enta una puerta lgica NOT o inversor.
Cuando la entrada es 1, el transistor nMOS est en
estado de conduccin. Al estar su fuente conectada a
tierra (0), el valor 0 se propaga al drenador y por tanto a
la salida de la puerta lgica. El transistor pMOS, p por el
contrario, est en estado de no conduccin
Cuando la entrada es 0, el transistor pMOS est en
estado de conduccin. Al estar su fuente conectada a la
alimentacin (1), el valor 1 se propaga al drenador y por
tanto a la salida de la puerta lgica. El transistor nMOS,
por el contrario, est en estado de no conduccin.
Otra de las caractersticas importantes de los circuitos
CMOS es que son regenerativos: una seal degradada que acometa una puerta
lgica CMOS se ver restaurada a su valor lgico inicia
iniciall 0 o 1, siempre y cuando
an est dentro de los mrgenes de ruido.
Igual como vimos que la tecnologa TTL se identifica porque el inicio de los
integrados es 0, la tecnologa CMOS se caracteriza por tener integrados
comenzados en 40XX. Asi por ejemplo el integrado HE4001 01 corresponde a una
compuerta NOR.
Esta es una muy buena tecnologa, utilizada con alta calidad de integracin
poco usada en el diseo electrnico y tal vez un poco difcil de conseguir en
talleres electrnicos o lugares donde vendan disposi dispositivos
tivos electrnicos pero
evidentemente la tecnologa TTL ofrecer lo que esta tecnologa ofrece en el diseo
de prcticas de laboratorio.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 31 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

ACTIVIDADES DE APRENDIZAJE

Busque conseguir un Manual NTE o ECG y busque compuertas con


tecnologa TTL y CMOS, posteriormente compre el mximo de compuertas
que logre conseguir y arme circuitos intentando predecir las salidas.

Evaluacin:

Arme un circuito lgico con las compuertas que se muestran a


continuacin y posteriormente haciendo uso de leds determine si la salida
cumple la condicin para llegar a la tabla de verdad.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 32 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

Capitulo IV
SIMPLIFICACION
DE FUNCIONES

4.1 Mtodo de mapa de karnaugh


4.2 Mtodo de Quine-
Quine-McCluskey

ING JUAN ALBERTO VAZQUEZ G.


Pgina 33 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

INTRODUCCION:

El primer problema, al minimizar una funcin dada, es decir, al encontrar


la expresin "ms simple" que representa esta funcin, es el de definir
exactamente cules son los criterios para determinar hasta qu punto una
expresin es simple. Llegar a esta definicin no siempre es sencillo, pues se
pueden tener dos expresiones que representen la misma funcin booleana,
con el mismo nmero de ocurrencias de cada variable y el mismo nmero de
operaciones, con lo cual quin puede decir cual de las dos es la ms
simple? El problema se hace an ms complicado cuando se incrementa el
nmero de operaciones bsicas, como es a menudo el caso, cuando
queremos hallar los valores de alguna funcin.
Como estamos trabajando en un lgebra booleana, se podra realizar en
teora una simplificacin enteramente algebraica, utilizando las leyes o
relaciones anlogas. Pero la dificultad estriba en estar seguro de que se han
tomado en cuenta todas las formas posibles de aplicar las reglas
simplificadoras. Las tcnicas que se han desarrollado para ayudar a la
simplificacin son mtodos de ordenar la informacin sobre la funcin que
permita ver todas estas posibilidades.

OBJETIVO:

Utilizaremos tcnicas como los mapas de karnaugh y la


simplificacin de Quine-McCluskey para la reduccin de funciones
lgicas

ING JUAN ALBERTO VAZQUEZ G.


Pgina 34 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

SIMPLIFICACION DE FUNCIONES
Como sabemos cualquier funcin booleana puede expresarse como una suma
de mini-trminos. La suma de estos elementos que son los que definen una
funcin booleana son aquellos que dan los 1s de la funcin en una tabla de
verdad.
Algunas veces es conveniente expresar la funcin booleana en la forma de
suma de mini-trminos. Si no puede hacerse en esta forma entonces puede
realizarse primero por la expansin de la expresin en una suma de los trminos
AND.
Despus cada trmino se inspecciona para ver si contiene todas las variables,
si se han perdido una o ms variables, se aplica el operador AND con una
expresin x+x en donde x es una de las variables perdidas.
Vamos a ser un poco ms prcticos y procesamos a estudiar los mtodos que
nos llevaran a funciones ms sencillas.

4.1 Mtodo de mapa de karnaugh

El mapa de Karnaugh es un mtodo grfico que se utiliza para simplificar una


ecuacin lgica y para convertir una tabla de verdad a su circuito lgico
correspondiente en un proceso simple y ordenado. Aunque un mapa de
Karnaugh se puede utilizar para resolver problemas con cualquier nmero de
variables de entrada, su utilidad prctica se limita a seis variables.
El mapa K, al igual que una tabla de verdad, es un medio para demostrar la
relacin entre las entradas lgicas y la salida que se busca.
Los mapas K aprovechan la capacidad del cerebro humano de trabajar mejor
con patrones que con ecuaciones y otras formas de expresin analtica.
Externamente, un mapa de Karnaugh consiste de una serie de cuadrados,
cada uno de los cuales representa una lnea de la tabla de verdad. Puesto que la
tabla de verdad de una funcin de N variables posee 2N filas, el mapa K
correspondiente debe poseer tambin 2N cuadrados. Cada cuadrado alberga un 0
un 1, dependiendo del valor que toma la funcin en cada fila. Las tablas de
Karnaugh se pueden utilizar para funciones de hasta 6 variables.
La simplificacin de expresiones lgicas mediante el mapa de Karnaugh utiliza
un mtodo grfico basado en la Suma de Productos.
El mapa de Karnaugh se
construye a partir de la Lnea A B C Mintrmino Mintrmino mx Funcin de Salida
0 0 0 0 ABC m0 F(0,0,0)
tabla de verdad de la
1 0 0 1 ABC m1 F(0,0,1)
funcin lgica. El mapa por 2 0 1 0 ABC m2 F(0,1,0)
medio de una matriz de 8 3 0 1 1 ABC m3 F(0,1,1)
celdas, representa los ocho 4 1 0 0 ABC m4 F(1,0,0)
mintrminos posibles que se 5 1 0 1 ABC m5 F(1,0,1)
pueden obtener con tres 6 1 1 0 ABC m6 F(1,1,0)
variables, en un arreglo de 7 1 1 1 ABC m 7 F(1,1,1)
una matriz de 2x4. Por
tanto, la primera fila contiene el primer valor posible ("0") y la segunda fila el
valor ("1").
ING JUAN ALBERTO VAZQUEZ G.
Pgina 35 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

Las variables 2 y 3 se agrupan


por columna y se distribuyen en las
cuatro columnas de acuerdo a las
combinaciones posibles para
obtener los mintrminos
requeridos. Sus valores son 00, 01,
10 y 11. Por ejemplo, la celda m2
(b) (c)
corresponde al mintrmino 2,
ubicado en la fila 0 y la columna 10. La unin de estos dos nmeros da el
nmero 010, cuyo equivalente es el trmino ABC el decimal 2. La tabla
muestra el mapa de Karnaugh para 3 variables.
Luego de obtener la tabla de Karnaugh debemos ir
agrupando los 1s y 0s como se muestra en la siguiente
tabla correspondiente a una funcin de 4 variables.
La funcin es:
F=ABCD+ABCD+ABCD+ABCD+ABCD+ABCD+
+ABCD+ABCD+ABCD+ABCD+ABCD+ABCD+ABCD.
Note que puedo tener varios grupos de elementos todos
con caractersticas importantes en la simplificacin de
resultados. Estos grupos son los siguientes:
termino I A (agrupa 8 unos y es de 1 variable)
termino II B C (agrupa 4 unos y es de 2 variables)
termino III AC D (agrupa 2 unos y es de 3 variables)
termino IV ABC D (agrupa 1 uno y es de 4 variables)
En el termino 1(octeto) solo se ha tomado el valor de A dado que es el nico
elemento que no cambia su estado en las columnas, solo lo hace en las filas, en
este caso siempre se mantiene con un valor de 1.
El termino 2 es cudruple y en este trmino B y C (este ultimo con valor 0) no
cambian su estado mantenindose siempre en 1 y 0 respectivamente.
En el termino 3 es A (0), C y D(0) los que no cambian estado y finalmente en el
termino 4 se deben de tomar en cuenta los 4 elementos, como el valor es 0 por
eso se utilizan todos negados.
Aun cuando aparentemente hemos terminado la
simplificacin de elementos no as debido a que es posible
crear un octeto (similar a termino 1) si agrupamos la fila #0 y
la #3. Tal y como se muestra en la figura.
En este caso es posible reagrupar y obtenemos finalmente
F=D+BD+A que es la mnima expresin posible.

A mi se me dificulto mucho aprender lo correspondiente a la simplificacin por


eso considero prudente unos dos ejercicios ms antes de pasar a el siguiente
tema.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 36 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

Realicemos otro ejemplo en el cual disearemos un circuito para la funcin:


F = ABC + ABC + ABC + ABC + ABC.
A B C F Lo primero es realizar la tabla de verdad con el fin de localizar
0 0 0 1 las posiciones de los 1s.
0 0 1 0 Posterior a esto viene la realizacion
0 1 0 1 del mapa de Karnaugh y la simlificacion
0 1 1 1 que como se ve en la figura incluye los
1 0 0 1 1s de la columna 0 y 1 para formar un
1 0 1 0 termino cuadruple y dos de los
1 1 0 1 elementos de la fila 0.
Ahora podemos realizar la simplificacion observando que en
1 1 1 0
el termino cuadruple marcado en color rojo no cambia C,
mientras que el el termino marcado en color azul no cambia B y tampoco lo hace
A por lo tanto la expresion simplificada queda como F=AB+C

Trabajare en las prximas lneas con otro ejemplo que cuenta con cuatro
variables necesarias para formar un circuito que detecte los nmeros primos
entre 1 y 9.
Para representar los nmeros entre el 1 y el 9 necesitamos 4 bits.
Supongamos A, B, C, D, siendo A el bit ms significativo.
Realizamos la tabla de verdad colocando un 1 en los nmeros primos del 1 al
9 y un 0 en los nmeros que no sean primos. Posteriormente se construye la
tabla de verdad.
Con 4 variables podemos tener 16 combinaciones, por lo
que existen 7 combinaciones para las cuales "no importa" la
entrada porque nunca se van a necesitar, estas entradas sern
representadas con la letra X.
De la tabla de verdad obtenemos el mapa de Karnaugh,
colocando los unos y las equis.
Note que se han dejado en blanco los valores
correspondientes a 0 y se han marcado con X aquellas
situaciones en las cuales existe un valor numrico que es superior a 9 y por lo
tanto no se utiliza.
Estas X debido a que no son utilizadas podemos
utilizarlas como 0 o como 1 sin que exista ningn
inconveniente.
Hemos conseguido una tabla llena de 0s, Xs y 1s, y se
agruparon los 1s y se tomo la X que esta encerrada en
color azul como un 1 para formar un elemento integrado
por cuatro 1 o termino cudruple.
Para este ejemplo AB corresponde a la primera
columna, dado que es el nico elemento que no altera su
estado y AD corresponde a la agrupacin cudruple.
Tal vez he cometido durante todo este ejercicio una serie de errores en la
forma de expresarme debido a que ambos son trminos cudruples, sin embargo
lo hice para que usted no se enredara y he llamado primera columna al trmino
ING JUAN ALBERTO VAZQUEZ G.
Pgina 37 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

cudruple encerrado en color azul y termino cudruple al encerrado en color


rojo.
Existen tal vez caso en los cuales se tenga cinco o mas elementos pero como
seguramente ha notado el procedimiento es el mismo para n elementos es claro
que entre mas elementos existan mas difcil se hace trabajar e incluso dibujar el
mapa de Karnaugh.

4.2 Mtodo
Mtodo de Quine-
Quine-McCluskey
Este mtodo es demasiado largo y un poco enredoso para comprenderlo pero
es el mtodo que nos permite de forma fcil y ordenada la solucin de funciones
que son complejas si se intentan resolver con el mtodo de Karnaugh, aqu es
donde radica su gran importancia.
Se forma la columna de mintrminos, codificada en binario o decimal, en
grupos, de acuerdo al nmero de unos.
Se comparan los elementos de cada grupo, buscando adyacencias, y se
forman las siguientes columnas en forma similar al mtodo de Quine. Notando
que en la representacin decimal, diferencias de 1, 2, 4, 8 y, en general, de una
potencia de 2, indican una diferencia en un bit. Tambin se mantiene la
separacin en grupos en las nuevas columnas.
Existen tablas con los nmeros decimales y su nmero de unos en
representacin binaria. Para facilitar la formacin de los grupos.
La segunda columna representa todas las agrupaciones posibles de dos
mintrminos. La tercera columna representa grupos de cuatro mintrminos, y as
sucesivamente.
El algoritmo permite trabajar con dgitos decimales o binarios. Se anotan en
los ejemplos columnas binarias y decimales.
En la segunda y siguientes columnas, las variables eliminadas se denotarn
con un guin (tambin es posible anotar la posicin del guin con un nmero
decimal potencia de dos).
Pueden combinarse dos trminos si ellos difieren solamente en un literal; por
esta razn, no podrn combinarse dos trminos en un mismo grupo. Los
miembros de un grupo de un solo "1", difieren en dos variables por lo menos; lo
mismo puede establecerse para los otros grupos. Esta observacin organiza la
bsqueda de adyacencias, ya que slo es preciso comparar entre grupos
adyacentes.
Ejemplo:
Aplicar mtodo de Quine-McCluskey para minimizar la siguiente funcin:
g(a, b, c, d, e, f) = m(0,2,6,7,8,10,12,14,15,41)
Considerando la equivalencia binaria de los mintrminos decimales, se tienen:
m0 = 000000
m2 = 000010
m8 = 001000
m6 = 000110
m10 = 001010
m12 = 001100
m7 = 000111
ING JUAN ALBERTO VAZQUEZ G.
Pgina 38 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

m14 = 001110
m41 = 101001
m15 = 001111
Formando grupos, de acuerdo al nmero de unos:
Se obtiene la siguiente tabla:
Decimal Binario
mintrmino a b c d e f Nmero de unos
0 0 0 0 0 0 0 0
2 0 0 0 0 1 0 1
8 0 0 1 0 0 0
6 0 0 0 1 1 0 2
10 0 0 1 0 1 0
12 0 0 1 1 0 0
7 0 0 0 1 1 1 3
14 0 0 1 1 1 0
41 1 0 1 0 0 1
15 0 0 1 1 1 1 4
Los mintrminos del grupo con n unos, se comparan con todos los del las
siguientes filas.
En la representacin decimal se buscan diferencias de potencias de dos; en
binario, se busca la diferencia en un bit. Puede formarse la siguiente tabla, que
contiene los implicantes formados por dos mintrminos:
Decimal Binario
Grupo Posicin a b c d e f Nmero de unos
0,2 2 0 0 0 0 - 0 0
0.8 8 0 0 - 0 0 0
2,6 4 0 0 0 - 1 0 1
2,10 8 0 0 - 0 1 0
8,10 2 0 0 1 0 - 0
8,12 4 0 0 1 - 0 0
6,7 1 0 0 0 1 1 - 2
6,14 8 0 0 - 1 1 0
10,14 4 0 0 1 - 1 0
12,14 2 0 0 1 1 - 0
7,15 8 0 0 - 1 1 1 3
14,15 1 0 0 1 1 1 -
En la notacin decimal, se anota la lista de mintrminos y la posicin de la
variable eliminada se registra con una potencia de dos entre parntesis. Ntese
que se mantiene el concepto de grupos, mediante lneas horizontales. A medida
que se forma la segunda tabla, se van marcando los mintrminos utilizados en la
primera tabla.
En el ejemplo no queda marcado el 41, ya que no puede agruparse con
ningn otro mintrmino; en este caso el mintrmino 41 es implicante
primo esencial.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 39 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

Para formar la tercera tabla, que contendr grupos de cuatro mintrminos; se


buscan diferencias de potencias de dos entre grupos adyacentes, tal y como se ha
venido haciendo.
Decimal Binario
Grupo Posicin a b c d e f Nmero de
unos
0, 2, 8, 10 2,8 0 0 - 0 - 0 0
2, 6, 10, 14 4,8 0 0 - - 1 0 1
8, 10,12,14 2,4 0 0 1 - - 0
6, 7, 14, 15 1,8 0 0 - 1 1 - 2
De este modo quedan marcados todos los grupos de la segunda lista.
Ntese que, en la tabla anterior, no hay diferencias comunes entre grupos, por
lo tanto, no puede formarse una siguiente tabla. Por lo anterior nuestra funcin
ser la siguiente:
g = ab'cd'e'f + a'b'd'f' + a'b'cf' + a'b'de
De donde ab'cd'e'f fue seleccionado por ser el implicante primo esencial,
a'b'd'f' corresponde a la primera fila (0, 2, 8, 10), en la segunda y tercera fila
tenemos la misma cantidad de 1s, sin embargo ahora debemos notar que los
nmeros 2, 6,10, etc. de la segunda y tercera fila estn repetidos en otras filas,
exceptuando el 12 por lo tanto tomaremos el valor de la tercera fila a'b'cf' y
finalmente a'b'de que corresponde a el valor de la cuarta fila.
Las cosas difciles se aprenden con la practica por eso le invito a seguirme en
la elaboracin de otro ejemplo en el cual tomaremos la funcin:
F(w, x, y, z)=(0, 1, 3, 4, 8, 9, 10, 11)
Como vera hemos obtenido la tabla de DECIMAL W X Y Z
0 0 0 0 0
nmeros binarios correspondiente a cada uno de
1 0 0 0 1
los datos. 3 0 0 1 1
El paso es ordenar la cantidad de 1s que hay 4 0 1 0 0
en cada grupo del menor a el mayor orden y del 8 1 1 0 0
decimal menor a el mayor, esto se hace con el fin 9 1 0 0 1
de ir facilitando la simplificacin. 10 1 0 1 0
11 1 0 1 1
La tabla ordenada quedara de la siguiente
forma:
DECIMAL W X Y Z Cantidad El proceso que seguir ser ir
de 1s comparando las filas binarias e ir
0 0 0 0 0 0 eliminado cuando haya solo un cambio
1 0 0 0 1 poniendo en su lugar un guion.
4 0 1 0 0 1
8 1 0 0 0
El resultado es la tabla que se
3 0 0 1 1 muestra a continuacin.
9 1 0 0 1 2 DECIMAL W X Y Z
10 1 0 1 0 0,1 0 0 0 --
11 1 0 1 1 3 0,4 0 -- 0 0
0,8 -- 0 0 0
1,3 0 0 -- 1
1,9 -- 0 0 1

ING JUAN ALBERTO VAZQUEZ G.


Pgina 40 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

Con esta tabla se ha realizado la primera 8,9 1 0 0 --


simplificacin ahora de ser posible realizaremos 8,10 1 0 -- 0
3,11 -- 0 1 1
una segunda simplificacin para esto es necesario
9,11 1 0 -- 1
nuevamente ordenar la cantidad de 1s existentes. 10,11 1 0 1 --
DECIMAL W X Y Z Cantidad
de 1s
0.1 0 0 0 --
0,4 0 -- 0 0 0
0,8 -- 0 0 0
1,3 0 0 -- 1
Hay trminos que aun pueden ser
1,9 -- 0 0 1 simplificados para esto repetiremos
1 nuevamente el proceso de simplificacin
8,9 1 0 0 --
8,10 1 0 -- 0 y obtenemos la tabla que a continuacin
3,11 -- 0 1 1 se muestra.
9,11 1 0 -- 1 2
10,11 1 0 1 -- DECIMAL W X Y Z
0,1,8,,9 -- 0 0 --
Posterior a la realizacin de esta tabla se ha 1,3,9,11 -- 0 -- 1
marcado con un tono gris una de las celdas de la 8,9,10,11 1 0 -- --
tabla de simplificacin anterior esto debido a que
(0,4) no se localiza en la ltima tabla que se muestra y por lo tanto se concluye
que es un implicante primo.
Tambin es mi deber hacer notar que en la ultima tabla los trminos (0,1,8,9)
pudieran en determinado momento aparecer en dos ocasiones pero no tiene caso
tomarlos en cuenta dado que solo cambia el orden, pero se trata de los mismos
trminos.
Tenemos previo un implicante primo que incluye al 0 y debemos ser muy
cuidadosos para elegir en la ultima simplificacin debido a que no podremos ni
debemos tomar los implicantes de la primera fila dado que 1,8,9 aparecen en la
2 y 3 fila. Por lo tanto nuestra simplificacin se reduce a los dos implicantes
primos que tenamos anteriormente y los resultantes de las filas 2 y 3(1,3,9,11 y
8,9,10,11).
Por tanto la funcin simplificada queda como: F(w,x,y,z)=wyz+wx+xz

ACTIVIDADES DE APRENDIZAJE

Utilice funciones que usted conozca y lleve a cabo su simplificacin


utilizando los dos mtodos de simplificacin vistos en esta unidad.

Evaluacin:

Realice la simplificacin de las dos funciones siguientes utilizando los dos


mtodos de simplificacin.

1. F(w,x,y,z)=wxyz+wxyz+wxyz+wxyz+wxyz+wxyz+wxyz+wxyz
2. F(a,b,c,d)=abcd+abcd+abcd+abcd+abcd+abcd+abcd+abcd

ING JUAN ALBERTO VAZQUEZ G.


Pgina 41 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

Capitulo V
SIMPLIFICACION
DE FUNCIONES

5.1 Introduccin
5.2 Procedimiento de Diseo.
5.3 Sumador y Restador
5.4 Anlisis de circuitos combinatorios

ING JUAN ALBERTO VAZQUEZ G.


Pgina 42 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

INTRODUCCION:

El diseo de circuitos para implementar un set de instrucciones es


principalmente un ejercicio de diseo a nivel lgico. No se trata de un
trabajo simple, sobre todo cuando se est trabajando en el diseo de
circuitos aritmticos para implementar operaciones tales como la
multiplicacin o la divisin. Veremos a continuacin la forma en como
podemos disear e implementar circuitos aritmticos y lgicos.
Comenzaremos con estos ltimos, ya que son los ms sencillos,
posteriormente abordaremos los secuenciales.

OBJETIVO:

Aplicaremos lo aprendido en el diseo de circuitos lgicos


aritmticos y combinacionales.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 43 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

5.1 Introduccin
Los circuitos lgicos manejan la informacin en forma de "1" y "0", dos niveles
lgicos de voltaje fijos. "1" nivel alto o "high" y "0" nivel bajo o "low".
Estos circuitos estn compuestos por elementos digitales como la compuerta
AND (Y), compuerta OR (O), compuerta NOT (NO) y combinaciones poco o muy
complejas de los circuitos antes mencionados.
Estas combinaciones dan lugar a otros tipos de elementos digitales como los
compuertas(vistas en el tema 3), entre otros:

compuerta nand (No Y) codificadores


compuerta nor (No O) memorias
compuerta or exclusiva (O exclusiva) flip-flops
mutiplexores o multiplexadores microprocesadores
demultiplexores o demultiplexadores microcontroladores
decodificadores etc.

La electrnica moderna usa electrnica digital para realizar muchas


funciones. Aunque los circuitos electrnicos pueden resultar muy complejos, en
realidad se construyen de un nmero muy grande de circuitos muy simples.
En un circuito digital se transmite informacin binaria (ceros y unos) entre estos
circuitos y se consigue un circuito complejo con la combinacin de bloques de
circuitos simples.
Un circuito con 3 interruptores de entrada (con estados binarios "0" o "1"),
tendr 8 posibles combinaciones. Siendo el resultado (la columna salida)
determinado por el estado de los interruptores de entrada.
Tabla de verdad
Switch 1 Switch 2 Switch 3 Salida
0 0 0 ?
0 0 1 ?
0 1 0 ?
0 1 1 ?
1 0 0 ?
1 0 1 ?
1 1 0 ?
1 1 1 ?
Los circuitos lgicos son bsicamente un arreglo de interruptores, conocidos
como "compuertas lgicas" (compuertas AND, NAND, OR, NOR, NOT, etc.). Cada
compuerta lgica tiene su tabla de verdad obtenindose salidas especficas para
entradas especficas
La utilizacin extendida de las compuertas lgicas, simplifica el diseo y
anlisis de circuitos complejos. La tecnologa moderna actual permite la
construccin de circuitos integrados (IC) que se componen de miles (o millones)
de compuertas lgicas.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 44 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

Una operacin Booleana puede ser entonces implementada conectando los


operandos de entrada a compuertas lgicas apropiadas. Consideremos la
implementacin de las cuatro operaciones lgicas bsicas AND, OR, OR-
EXCLUSIVO, y NOT usando operandos de n-bits. Las entradas son almacenadas
en los registros X y Y, y la salida es colocada en el registro Z. La operacin a ser
ejecutada es especificada por dos seales de control c1 y c2. Es fcil poder darse
cuenta que el circuito lgico requerido para implementar las cuatro instrucciones
est definida por la ecuacin Booleana:

Esta misma ecuacin puede ser reescrita usando suma de productos o


mintrminos de la forma:
.
Las computadoras digitales efectan diversas tareas de procesamiento de
informacin. Entre esas funciones estn las operaciones aritmticas. La
operacin aritmtica ms bsica es la suma de 2 dgitos binarios.

5.2 Procedimiento de Diseo.


El diseo de circuitos es la parte de la electrnica que estudia distintas
metodologas con el fin de desarrollar un circuito electrnico, que puede ser tanto
analgico como digital.
En funcin del nmero de componentes que forman el circuito integrado se
habla de diferentes escalas de integracin. Las fronteras entre las distintas
escalas son difusas, pero se denominan SSI (Small Scale of Integration) los
circuitos de baja complejidad (algunas docenas de componentes en un mismo
chip), MSI (Medium Scale of Integration) y LSI (Large Scale Integration) los
circuitos de media y alta complejidad, y finalmente VLSI (Very Large Scale
Integration) para circuitos extraordinariamente complejos, hasta cientos de
millones de transistores. En esta ltima categora entraran los
microprocesadores modernos.
El diseo se realiza a distintos niveles. Por una parte tenemos la parte fsica,
donde se disea la estructura real de los componentes electrnicos que
constituyen el circuito, sus dimensiones, materiales. Por encima podemos
encontrar mtodos de diseo de cada vez ms alto nivel, hasta llegar a los
llamados lenguajes de descripcin de hardware. stos permiten introducir
descripciones de los distintos bloques funcionales de un sistema para su
simulacin, verificacin e incluso para la generacin automtica del circuito fsico
con la herramienta de sntesis apropiada. Algunos de los lenguajes de descripcin
de hardware ms conocidos y empleados son VHDL y Verilog. En general los
circuitos analgicos no permiten este grado de automatizacin y se requiere un
diseo ms artesano, donde la distribucin fsica de los componentes desempea
un papel fundamental en el resultado final.
Una de las tecnologas ms ampliamente utilizadas en aplicaciones analgicas
de baja frecuencia y digitales es la CMOS o lgica MOS complementaria vista en
la unidad anterior y la TTL.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 45 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

Este tipo de diseo es el que se realiza en los laboratorios, a nosotros nos


corresponde solo disponer de los elementos ya previamente analizados y
utilizarlos para el diseo de otro tipo de circuitos como puede ser el manejo de
sensores, puertas o alarmas, en todos esto casos se hace uso de sistemas
digitales para el control y los pasos a seguir son marcados a continuacin.
 Obtener la tabla de verdad a partir de las condiciones fsicas de
funcionamiento.
 Deducir la ecuacin de la funcin que se va a realizar a partir de la tabla de
verdad.
 Simplificar la ecuacin obtenida.
 Implementar con la tecnologa adecuada buscando alguno o varios de los
siguientes objetivos:
 Usar el menor nmero de puertas.
 Usar un solo tipo de puertas.
 Usar el menor nmero de pastillas integradas.
 Implementar el circuito ms econmico.

5.3 Sumador y Restador


En binario, la cifra ms alta es el 1, por lo tanto, cuando en la suma
encontramos dos unos resulta 1 + 1 = 10, entonces se deja el 0 y se arrastra el 1
para ser sumado a la izquierda. Debido al 1 de arrastre pueden juntarse tres
unos.
Un ejemplo de una suma binaria es mostrado a continuacin,
en el se van sumando cada uno de los componentes, deber notar
que sumar dos ceros da por resultado un 0, sumar dos uno
tambin pero la diferencia radica en que cuando sumo dos 1s me
genera un bit mas llamado acarreo.
Se ha puesto un ejemplo de resta en decimal como punto de
referencia para restar en binario. Vea que empezando por la
derecha, en cuarto lugar encontramos que de 7 a 13 van 6 y
arrastramos 1 a la izquierda que se suma al 4 (quedando 5 y
faltando 3 para llegar a 8). En sexto lugar encontramos que de
9 a 15 van 6 y arrastramos 1 a la izquierda que se suma al 9.
Esto hace que 9 + 1 = 10, con lo que queda 0 (de 0 a 4 van 4) y
se arrastra el 1 para sumarse al 1 del extremo izquierdo, con lo
que de 2 a 5 van 3. En el ejemplo binario, en cuarto lugar
comenzando por la derecha, encontraremos que de 1 a 10 (ser
2 pasado a decimal) va 1 y se arrastra 1 a la izquierda para
sumar al 0. En sexto lugar volvemos a encontrar que de 1 a 10 va 1 y se arrastra
1 a la izquierda para sumar al 1 (esto desencadena otro arrastre hasta la ltima
posicin izquierda).
Una particularidad de toda computadora es que los nmeros los suma en
pares solamente. Si se requiere la suma de tres nmeros, primero se suman dos
y luego se agrega el tercero a la suma anterior. Por lo tanto slo consideremos el
problema de sumar dos nmeros.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 46 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

Al combinar dos dgitos en cualquier base, cuando la suma excede o iguala a


la base, es necesario acarrear un dgito a la siguiente posicin de la izquierda. O
sea que, excepto para el dgito de la extrema derecha, consideraremos siempre un
acarreo de un dgito que podr ser 0 o 1 en el sistema binario. Debido a este
acarreo ser conveniente efectuar la adicin en dos
pasos: X Y S A
El sumador o semisumador (HA, de Half adder)
ser un dispositivo capaz de efectuar la adicin entre 0 0 0 0
dos dgitos binarios. As el semi-sumador tendr dos 0 1 1 0
entradas correspondientes a los dos sumandos, y dos 1 0 1 0
salidas, una dando el dgito de la suma y la otra el 1 1 0 1
dgito por acarrear. La tabla correspondiente es la
siguiente:
La funcin s es:
s = x y + x y
Otra forma de expresarlo es:
s = (x + y)(x + y)
y el acarreo a est dado por:
a = x y.
El siguiente es entonces el circuito lgico del semisumador.

La adicin de dos nmeros binarios de varios


dgitos es equiparable a la adicin por posiciones
considerando el acarreo de suma eventualmente
derivado de la cifra anterior. El diagrama
siguiente muestra como pueden sumarse dos
nmeros binarios de tres dgitos, por posiciones,
mediante combinacin de semi-sumadores (HA), y
compuertas OR. El nmero binario puede ser de
cuatro cifras en su resultado.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 47 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

5.4 Anlisis de circuitos combinatorios.


combinatorios.
Un circuito combinacional es aquel que est formado por funciones lgicas
elementales (AND, OR y NOT), entre otras podemos mencionar (NAND, NOR,
XNOR, YES), que tiene un determinado nmero de entradas y salidas,
dependiendo el valor de las salidas exclusivamente de los valores que entran en
ese instante. Dicho en terminos de la electrnica, decimos que un circuito
combinatorio es: un conjunto de compuertas interconectadas cuya salida, en
cualquier momento, esta en funcin de la entrada en ese mismo momento, la
salida de una compuerta depende de la entrada de sta tenga.
Tambin conocidos como circuitos sin memoria, ya que su salida depende solo
de su entrada actual y no retienen informacin de entradas anteriores; A
diferencia de los circuitos secuenciales (sern vistos en el capitulo 6) que no solo
dependen de una(s) entrada(s) actual(es), sino tambin del estado anterior de
esta(s).
Ejemplo de este tipo de circuitos son: los codificadores, decodificadores,
multiplexores, demultiplexores, comparadores, generadores-detectores de
paridad, etc.
CODIFICADOR Y DECODIFICADOR:
Al disear un sistema digital es necesario representar o codificar en forma
binaria la informacin numrica y alfanumrica que se obtiene de dicho sistema
y, para ello, existen los circuitos combinatorios denominados codificadores.
Un codificador es un circuito combinatorio que cuenta con un nmero
determinado de entradas, de las cuales slo una tiene el estado lgico 1, y se
genera un cdigo de varios bits que depende de
cul sea la entrada excitada.
Un codificador tiene 2n o menos lneas de
entrada y n lneas de salida. Por ejemplo, en una
de las entradas se puede ingresar un dgito
decimal u octal y generarse un cdigo de salida
en BCD o binario. La funcin de los codificadores
es inversa a la de los decodificadores. Los
codificadores se utilizan tambin para codificar
smbolos diferentes y caracteres alfabticos.
En la figura se esta mostrando un codificador que corresponde a un circuito
que de entrada recibe los elementos de 0-7 y en la salida tenemos la
representacin octal de los dgitos de entrada.
MULTIPLEXOR Y DEMULTIPLEXOR:
En electrnica digital, un multiplexor, es un circuito usado para el control de
un flujo de informacin que equivale a un conmutador. En su forma ms bsica
se compone de dos entradas de datos (A
y B), una salida de datos y una entrada
de control. Cuando la entrada de control
se pone a 0 lgico, la seal de datos A es
conectada a la salida; cuando la entrada
de control se pone a 1 lgico, la seal de
datos B es la que se conecta a la
ING JUAN ALBERTO VAZQUEZ G.
Pgina 48 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

salida...
El multiplexor es una aplicacin particular de los decodificadores, tal que
existe una entrada de habilitacin (EN) por cada puerta AND y al final se hace un
OR entre todas las salidas de las puertas AND.
La funcin de un multiplexor da lugar a diversas aplicaciones:
1. Selector de entradas.
2. Serializador: Convierte datos desde el formato paralelo al formato serie.
3. Transmisin multiplexada: Utilizando las mismas lneas de conexin, se
transmiten diferentes datos de distinta procedencia.
4. Realizacin de funciones lgicas: Utilizando inversores y conectando a 0
1 las entradas segn interese, se consigue disear funciones
complejas, de un modo ms compacto que con las tradicionales puertas
lgicas.
Un demultiplexor es un dispositivo lgico que como su nombre indica realiza
la operacin inversa al multiplexor; esto es, un convertidor de serie a paralelo. El
demultiplexor recibe informacin por su nica entrada (de uno o ms bits) y una
seal de control decide en cual de las salidas se refleja. Si tienen una seal de
"enable" esta hace que el demultiplexor est habilitado o no. Un demultiplexor
podra ser ilustrado como se muestra en la figura.

Como se dara usted cuenta debido a el inversor que tenemos en la etapa de


decodificacin es que se logra activar la salida b o no activarla. Aparatos como
lavadoras, hornos y otros tantos ms utilizan sistemas similares para funcionar.
COMPARADORES:
Los circuitos comparadores son sistemas combinacionales que comparan la
magnitud de dos nmeros binarios de n bits e indican cul de ellos es mayor,
menor o s existe igualdad entre ellos. Existen varias configuraciones de circuitos
de un nivel sencillo a uno ms complejo para determinar relaciones de magnitud.
La comparacin de dos bits se puede realizar por medio de una compuerta OR
exclusiva o una NOR exclusiva. La salida del circuito es 1 si sus dos bits de
entrada son diferentes y 0 si son iguales.
Los nmeros A y B de dos bits en orden significativo ascendente a
descendente se ordenan de la siguiente forma:
A = A1A0
B = B1B0
En un comparador de dos bits se utilizan dos compuertas OR Exclusiva.
Los bits ms significativos se comparan en la
compuerta 1 y los dos menos significativos en la
compuerta 2. En el caso de nmeros iguales, los
bits tambin son iguales, teniendo como salida en
cada XOR el valor 0. Cada XOR se invierte y la

ING JUAN ALBERTO VAZQUEZ G.


Pgina 49 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

salida de la compuerta
puerta AND tendr un 1. En nmeros diferentes, los bits sern
diferentes y la salida de cada XOR ser 1.
GENERADORES DE PARIDAD PARIDAD:
La transmisin binaria por diversos medios de comunicacin est sujeta a
errores por fallas en los sistemas digitales o la presencia de ruido elctrico.
Cualquier condicin interna o externa al sistema puede alterar el valor de los
ceros a unos o viceversa
viceversa.. Cuando se altera un solo bit, decimos que el bit
distorsionado contiene un error individual. De la misma forma, dos o ms bits
distorsionados, involucran un error mltiple, pero estos errores tienen menor
probabilidad de ocurrencia a los errores individu
individuales.
ales. Un cdigo que permite
detectar errores es el cdigo de paridad. El principio es aadir un bit de paridad
para hacer que el nmero total de bits
(incluida la palabra) sea par o impar. Un bit Mensaje de tres Bits Bit de paridad Par generado
de paridad par, incluido con el mensaje A B C P

(palabra), convierte el nmero total de unos 0 0 0 0

en par (paridad par) y el bit de paridad 0 0 1 1

impar hace el total de unos impar (paridad 0 1 0 1

impar). El generador de paridad es un 0 1 1 0

sistema combinacional que permite generar 1 0 0 1


el bit de paridad de una palabra de cdigo. 1 0 1 0
La informacin se trans
transmite y el 1 1 0 0
comprobador de paridad recepciona la 1 1 1 1
informacin con el fin de validarla.
En este caso se muestra una tabla en la cual se manda un mensaje de tres
bits y de acuerdo con el bit de paridad se toma el valor como correcto (si es 1) o
incorrecto (en caso de 0). La creacin de este tipo de circuitos ya ha sido vista y
dejo a usted la tarea de elaborar un circuito lgico para cumplir con la tabla
correspondiente.

ACTIVIDADES DE APRENDIZAJE

Elabore circuitos lgicos que complementen cada uno de los subtemas


vistos en la seccin 5.4 y prubelos en clase.

Evaluacin:

Disee un circuito en el cual dado un


numero octal pueda obtener como salida
un numero que sea capaz de llenar los led
de un numero (7 leds) como contador. El
circuito a bloques es pres
presentado en la
figura.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 50 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

Capitulo VI
CIRCUITOS
SECUENCIALES

6.1 Introduccin
6.2 Flip-
Flip-Flop
6.3 Flip-
Flip-Flop controladores o reloj.
6.4 Disparo de Flip-
Flip-Flops

ING JUAN ALBERTO VAZQUEZ G.


Pgina 51 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

INTRODUCCION:

La teora de mquinas de estado es el nombre con el que se conocen


los mtodos de Anlisis y Diseo de Circuitos Secuenciales
Sincrnicos.
Las mquinas de estado son circuitos secuenciales que se
encuentran constituidos por una etapa combinacional y una etapa de
memoria, relacionadas de tal forma que conforman un sistema
secuencial para algn propsito especial. Los registros y contadores
con entradas asincrnicas son ejemplos de este tipo de sistemas
secuenciales.
A lo largo de este capitulo estaremos centrando nuestro inters en el
conocimiento de las maquinas de estado o circuitos secuenciales,
estaremos explicando su funcionamiento interno y seremos capaz de
disear circuitos secuenciales para aplicaciones didcticas o de
entretenimiento.

OBJETIVO:

Estaremos haciendo uso de los conocido en los captulos anteriores


para el uso y diseo de circuitos secuenciales que contaran un una
determinada finalidad.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 52 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

6.1 Introduccin
Los circuitos secunciales, de la misma forma que los combinacionales, estn
constituidos por puertas lgicas, y como en estos ltimos, la escala de
integracin de la mayora de los circuitos disponibles en catalogo es la MSI. Sin
embargo, presentan unas caractersticas muy singulares que describiremos a
continuacin.
A diferencia de los circuitos combinacionales, en los secuenciales, los valores
de las salidas en un momento dado no dependen exclusivamente de los valores
aplicados en las entradas en ese instante, sino tambin de los que estuviesen
presentes con anterioridad.
Puede ocurrir, por lo tanto, que para iguales valores en las entradas se
puedan obtener estados distintos en las salidas en momentos diferentes.
La respuesta de un circuito de estas caractersticas, frente a una secuencia de
valores aplicada a las entradas, depende de su constitucin fsica.
Los circuitos secuenciales tienen capacidad para recordar o memorizar los
valores de las variables de entrada. Esta operacin es imprescindible en los
sistemas automticos construidos con circuitos digitales, sobre todo en los
programables, de los cuales nos ocuparemos en otra materia.
El almacenamiento o memorizacin de la informacin presente en la puerta
del circuito se realiza gracias a la existencia de unas variables denominadas de
estado interno, cuyo valor se vera afectado por los cambios producidos en la
combinacin binaria aplicada a la entrada.
La sincronizacin en los circuitos lgicos sincrnicos se logra a travs de un
dispositivo llamado reloj, el cual genera un tren peridico de pulsos de reloj. Los
pulsos de reloj se distribuyen a travs del sistema de tal forma que los elementos
de memoria estn afectados solo por la llegada del pulso de sincronizacin. Los
elementos de memoria que se utilizan en los circuitos secuenciales de reloj se
llaman flip-flops estos circuitos son celdas binarias capaces de almacenar un bit
de informacin. Un circuito flip-flop tiene dos salidas, una para el valor normal y
otra para el valor complementario del bit almacenado en el. La informacin
binaria puede entrar a un flip-flop es una gran variedad de formas, hecho que da
lugar a diferentes tipos de flip-flops.
El comportamiento de un circuito secuencial se determina mediante las
entradas, las salidas y los estados de sus flip-flops. Tanto las salidas como el
estado siguiente son funcin de las entradas y del estado presente. El anlisis de
los circuitos secuenciales consiste en obtener una tabla o un diagrama de
estados para proceder a la asignacin de los cdigos binarios de cada estado y de
esta manera poder determinar las ecuaciones para los diferentes tipos de flip-
flops.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 53 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

6.2 Flip-
Flip-Flop
Una parte importantsima en la mayora de los sistemas digitales es la
dedicada a contener la informacin que est tratando dicho sistema.
Los datos e instrucciones del programa de un sistema microcomputador son
almacenados en la memoria. Cada "celda" de la memoria puede almacenar un bit,
estando las memorias constituidas por varios miles de estas celdas. El conjunto
de celdas en las que se almacena una palabra se llama "Posicin de memoria"
Los elementos bsicos de memoria son los llamados Flip-Flops. El estado de
un flip flop cambia por un cambio momentneo en sus entradas. Este cambio se
denomina disparo (trigger en ingles). En los latch se necesita un disparo de
entrada definido por un cambio de nivel. Este nivel debe regresar a su nivel
inicial antes de aplicar otro disparo. Los Flip-flop son disparados por pulsos. La
realimentacin entre la circuitera combinacional y el elemento de memoria
puede producir inestabilidad, haciendo que el Flip-flop (FF) cambie varias veces
durante la duracin de un pulso de reloj por lo que el intervalo de tiempo desde
la aplicacin del pulso hasta que ocurre la transicin de la salida es un factor
critico que requiere un anlisis que va mas all de los requerimientos de este
curso.
Una manera de resolver este problema es hacer que los FF sean sensitivos a la
transicin del pulso ms que a la duracin. Hay dos maneras de hacerlo y que
dan origen a dos tipos de FFs: los FFs maestro esclavo y los FFs disparados por
flanco.
Un FF es una combinacin de compuertas lgicas, A diferencia de las
caractersticas de las compuertas solas, si se unen de cierta manera, estas
pueden almacenar datos que podemos manipular con reglas preestablecidas por
el circuito mismo.
En un FF puede permanecer un dato en un estado determinado o en el
contrario durante un tiempo indefinido. Esta caracterstica es ampliamente
utilizada en electrnica digital para memorizar informacin. El paso de un estado
a otro se realiza variando sus entradas. Dependiendo del tipo de dichas entradas
los biestables se dividen en:
Asncronos: slo tienen entradas de control. El ms empleado es el
biestable RS.
Sncronos: adems de las entradas de control posee una entrada de
sincronismo o de reloj. Si las entradas de control dependen de la de
sincronismo se denominan sncronas y en caso contrario asncronas.
Por lo general, las entradas de control asncronas prevalecen sobre las
sncronas.
La entrada de sincronismo puede ser activada por nivel (alto o bajo) o por
flanco (de subida o de bajada). Dentro de los biestables sncronos activados por
nivel estn los tipos RS y D, y dentro de los activos por flancos los tipos JK, T y
D.
Este es el circuito ms sencillo y bsico de un Flip-Flop (FF), Puede ser
construido a partir de dos compuertas NAND o dos compuertas NOR con dos

ING JUAN ALBERTO VAZQUEZ G.


Pgina 54 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

entradas, a continuacin se ilustra


con compuertas NAND, y es
denominado "Registro Bsico NAND".
La forma de conectarlas es la
siguiente:
Se deja libre una de las entradas
de cada compuerta, las sobrantes son
conectadas independientemente de
manera cruzada hacia la salida de la
compuerta contraria.
La tabla de verdad para el circuito lgico que se muestra es la siguiente.
Existe una posibilidad en la cual se pondra a corto nuestro circuito y
corresponde a la condicin cuando R (Reset) y S (set) valgan 0. Contrario a esto
es cuando la compuerta posee los valores 1,1 en estas dos entradas debido a
que no hay cambio de estado se dice que esta operando como mantenimiento.
Los casos de Reset y Set son los nicos que originan cambios en las salidas.
Entradas Salidas
Modo de operacin
S R Q Q
Prohibido 0 0 1 1
Set 0 1 1 0
Reset 1 0 0 1
Mantenimiento 1 1 No cambia
La representacin de un flip flop de este tipo es simbolizada en la siguiente
figura. Y se le llama flip-flop RS.

Casos similares dan lugar a FF activados por reloj, para conocer estos casos le
hago la invitacin a continuar con el siguiente subtema en donde estaremos
tratando estos casos.

6.3 Flip-
Flip-Flop controladores o reloj.
Dentro de los flip-flops existe una categora que son controlados por pulsos
continuos a los que tradicionalmente se les llama reloj, y que determinan los
estados de cambio del flip-flop.
FLIP-FLOP RS SINCRONO.
El flip-flop RS es un dispositivo asncrono. No opera en conjuncin con un
reloj o dispositivo de temporizacin. El flip-flop RS sncrono opera en conjuncin
con un reloj, en otras palabras opera sincronizadamente. Su smbolo lgico se
muestra a continuacin. Es igual a un flip-flop
RS aadindole una entrada de reloj.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 55 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

El flip-flop RS sncrono puede implementarse con


puertas NAND. En la siguiente ilustracin vemos primero
como se aaden dos puertas NAND al flip-flop RS para
construir un flip-flop RS sncrono. Las puertas NAND
aaden la caracterstica de sincronismo al cerrojo RS. La
tabla de la verdad nos muestra la operacin del flip-flop
RS sncrono. El modo de mantenimiento se describe en la primera lnea de la
tabla de la verdad. Cuando un pulso de reloj llega a la entrada CLK (con 0 en las
entradas R y S), las salidas no cambian, permanecen igual que antes de la
llegada del pulso de reloj. Este modo tambin puede llamarse de "inhabilitacin"
del FF. La lnea 2 es el modo de reset. La salida normal Q se borrar cuando un
nivel ALTO active la entrada R y un pulso de reloj active la entrada de reloj CLK.
Si R=1 y S=0, el FF no se pone a 0 inmediatamente, esperar hasta que el pulso
del reloj pase del nivel BAJO al ALTO, y entonces se pone a 0. La lnea 3 de la
tabla describe el modo set del flip-flop. Un nivel ALTO activa la entrada S (con
R=0 y un pulso de reloj en el nivel ALTO), poniendo la salida Q a 1. La lnea 4 de
la tabla de verdad es una combinacin "prohibida" todas las entradas estn en 1,
no se utiliza porque activa ambas salidas en el nivel ALTO.
Modo de ENTRADAS SALIDAS
operacin CLK S R Q Q
Mantenimiento 0 0 No cambia

Reset 0 1 1 1

Set 1 0 1 0

Prohibido 1 1 1 1
Las formas de ondas, o diagramas de tiempo, se emplean mucho y son
bastante tiles para trabajar con flip-flop y circuitos lgicos secuenciales. A
continuacin mostraremos un diagrama de tiempo del flip-flop RS sncrono. Las
3 lneas superiores representan las seales binarias de reloj, set y reset. Una sola
salida Q se muestra en la parte inferior. Comenzando por la izquierda, llega el
pulso de reloj 1, pero no tiene efecto en Q porque las entradas R y S estn en el
modo de mantenimiento, por tanto, la salida Q permanece a 0. En el punto a del
diagrama del tiempo, la entrada de set se activa en el nivel ALTO. Despus de
cierto tiempo en el punto b, la salida se pone a 1. Mirar que el flip-flop ha
esperado a que el pulso 2 pase del nivel BAJO a ALTO antes de activar la salida
Q a 1. El pulso est presente cuando las entradas R y S estn en modo de
mantenimiento, y por lo tanto la salida no cambia. En el punto C la entrada de
reset se activa con un nivel ALTO. Un instante posterior en el punto d la salida Q
se borra se pone a 0, lo cual ocurre durante la transicin del nivel BAJO a
ALTO del pulso del reloj. En el punto
e est activada la entrada de set, por
ello se pone a 1 la salida Q en el
punto f del diagrama de tiempos. La
entrada S se desactiva y la R se
activa antes del pulso 6, lo cual hace

ING JUAN ALBERTO VAZQUEZ G.


Pgina 56 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

que la salida Q vaya al nivel BAJO o a la condicin de reset. El pulso 7 muestra


que la salida Q sigue a las entradas R Y S todo el tiempo que el reloj est en
ALTA. En el punto g del diagrama de tiempos, la entrada de set (S) va a nivel
ALTO y la salida Q alcanza tambin el nivel ALTO. Despus la entrada S va a
nivel BAJO. A continuacin en el punto h, la entrada de reset (R) se activa por un
nivel ALTO. Eso hace que la salida Q vaya al estado de reset, o nivel BAJO. La
entrada R entonces vuelve al nivel BAJO, y finalmente el pulso de reloj finaliza
con la transicin del nivel ALTO al BAJO. Durante el pulso de reloj 7, la salida
estuvo en el nivel ALTO y despus en el BAJO. Observar que entre los pulsos 5 y
6 ambas entradas R y S estn a 1. La condicin de ambas entradas R y S en el
nivel ALTO, normalmente, se considera un estado prohibido para el flip-flop. En
este caso es aceptable que R y S estn en el nivel ALTO, porque el pulso de reloj
est en el nivel BAJO y el flip-flop no est activado.
FLIP-FLOP D.
El smbolo lgico para un flip-flop D es el siguiente:
Tiene solamente una entrada de datos (D), y una
entrada de reloj (CLK). Las salidas Q Y 1. Tambin se
denomina "flip-flop de retardo". Cualquiera que sea el dato en la entrada (D), ste
aparece en la salida normal retardado un pulso de reloj. El dato se transfiere
durante la transicin del nivel BAJO al ALTO del pulso del reloj.
FLIP-FLOP JK.
El smbolo lgico para un flip-flop JK es el
siguiente:
Este flip-flop se denomina como "universal"
ya que los dems tipos se pueden construir a partir de l. En el smbolo anterior
hay tres entradas sncronas (J, K y CLK). Las entradas J y K son entradas de
datos, y la entrada de reloj transfiere el dato de las entradas a las salidas.
A continuacin veremos la tabla de la verdad del flip-flop JK:
ENTRADAS SALIDAS
Modo de operacin
CLK J K Q Q

Mantenimiento 0 0 No cambia

Reset 0 1 0 1

Set 1 0 1 0

Conmutacin 1 1 Estado opuesto
Observamos los modos de operacin en la parte izquierda y la tabla de la
verdad hacia la derecha. La lnea 1 muestra la condicin de "mantenimiento", o
inhabilitacin. La condicin de "reset" del flip-flop se muestra en la lnea 2 de la
tabla de verdad. Cuando J=0 y K=1 y llega un pulso de reloj a la entrada CLK, el
flip-flop cambia a 0(Q=0). La lnea 3 muestra la condicin de "set" del flip-flop JK.
Cuando J=1 y K=0 y se presenta un pulso de reloj, la salida Q cambia a 1. La
lnea 4 muestra una condicin muy difcil para el flip-flop JK que se denomina de
conmutacin.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 57 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

6.4 Disparo de Flip-


Flip-Flops
La mayor parte de los complejos equipos digitales operan como un sistema
secuencial sncrono, lo que sugiere que un reloj maestro enva seales a todas las
partes del sistema para coordinar la operacin. Un tren de pulsos de un reloj
tpico es una onda cuadrada en donde la distancia horizontal representa el
tiempo y la vertical representa los valores lgicos de 0 y 1. Valores tpicos en un
sistema TTL es de 0 V y 5 V respectivamente.
Cuando el valor de la onda cuadrada pasa de un valor BAJA a ALTA (L a H),
realiza una transicin de flanco positivo que va del 0 al 1 lgico. Anlogamente
cuando pasa del valor ALTA a BAJA (H a L), el pulso de reloj realiza una
transicin de flanco negativo del pulso de reloj.
Como los flip-flops son dispositivos secuenciales sncronos (necesitan una
seal de reloj para funcionar), que se utilizan para almacenar un bit de
informacin. En concreto, los que son activos o disparados por flanco de reloj
(existe otra variedad que son activos por nivel) son los ms utilizados en la
construccin de contadores y registros.
Algunos FLIP FLOP transfieren los datos de la entrada a la salida en el flanco
positivo del pulso de reloj y se les denomina Flip Flops disparados por flanco
positivo, mientras que otros circuitos de FLIP FLOP son disparados en el flanco
negativo. Es importante observar la diferencia de tiempo en los FLIP FLOP
disparados por flanco positivo y negativo, esta diferencia implica que el FLIP
FLOP acte en el primer semiciclo de la onda cuadrada o en el segundo y resulta
en una diferencia de tiempo de gran importancia en algunas aplicaciones.
La representacin de flip-flops se indica poniendo un circulo en la
entrada de la seal del flip-flop, tal y como se muestra en la figura.
Muchos circuitos como alarmas, juguetes, contadores,
temporizadores, etc. son realizados utilizando flip-flop un ejemplo de lo que le
digo es el siguiente circuito

En este caso se esta haciendo uso de un circuito


en cual recibe una entrada de reloj y la entrada de
dato es la misma que se recibe de salida como
seguramente esta pensando si el circuito recibe
una frecuencia de 10Khz, entonces dado que hay
seales bajas en SR y esta existiendo
retroalimentacin con la salida negada entonces en
su salida existir una frecuencia de 5Khz.
Este mismo circuito puede ser probado con un led.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 58 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

ACTIVIDADES DE APRENDIZAJE

Realice una tabla de tiempo para cada uno de los circuitos que fueron
mostrados en este capitulo.

Evaluacin:

Realice de forma practica el circuito que se muestra a continuacin e


investigue el uso del integrado 7474.

Contador digital de mdulo 10


Este contador digital
cuenta en binario. Los
pulsos altos (high), que
representan el 1 binario,
se aplican a la entrada
(INP). En binario 0 se
escribe 0000 y 9 se
escribe 1001. El 1 es
representado por un LED
encendido y el 0 binario
por un LED apagado. El
contador cuenta hasta
1001 (9 en binario), y
luego retorna a cero
binario para continuar
contando. Los pulsos
aplicados a INP pueden
provenir de un reloj, oscilador de pulsos, o un interruptor de rebote nulo (no-
bounce switch). Notar en el esquemtico que proveo a continuacin, que la cifra
ms significativa est representada por el LED que est ms hacia la izquierda
(marcado MSD) y el LED que representa la cifra menos significativa es el que est
ms hacia la derecha (marcado LSD). El esquemtico abajo muestra el primer
LED y el ltimo LED encendidos, lo que represente el 1001 binario o sea, el 9
decimal.
Este circuito usa tecnologa TTL. Los chips usados son tres LM-7400, que son
flip flops tipo dual D. Debido a que este circuito usa tecnologa TTL (Transistor-
Transistor Logic) necesita una fuente de fuerza (Vcc) bien regulada de +5 voltios.
El circuito tambin se puede usar con flip flops CMOS, en cuyo caso la
regulacin de la fuente de fuerza no es tan crtica, no necesitndose un regulador
de voltaje para Vcc en la mayora de los diseos.
El contador se puede construir de tamao compacto puesto que usa
solamente 5 piezas (tres chips un resistor y un capacitor). No cuento los LED y

ING JUAN ALBERTO VAZQUEZ G.


Pgina 59 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

sus resistores, puesto que en la mayora de los


proyectos no los necesitamos. Es ideal construir el
contador de forma modular en un tablero impreso o
perforado.
Este sencillo diseo tiene multitud de usos para el
experimentador y con l se pueden implementar
numerosos dispositivos de control digital y robtico.
Los LED's se pueden substituir por circuitos
comparadores, otros contadores digitales, rels,
pequeos servomecanismos, usarse para controlar
motores escalonados, etc. Solo hay que usar de un
poco de inventiva para implementar dispositivos
interesantes con ste contador digital.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 60 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

Glosario de trminos:

Acarreo: Dgito que ha sido transferido de una columna de dgitos a otra columna
de dgitos de mayor potencia en un algoritmo de clculo. Cuando realizbamos
operaciones aritmticas en la primaria decamos por ejemplo en una suma de
4+9 que tenamos 3 y llevbamos 1, esta unidad que llevamos es lo que en
informtica se le conoce como acarreo.
Algoritmo: Lista bien definida, ordenada y finita de operaciones que permite
hallar la solucin a un problema.
ALU: (Unidad Aritmtica y Lgica.). Realiza operaciones aritmticas y lgicas.
Mientras ejecutas estas operaciones, la ALU toma datos del rea de
almacenamiento temporal de la CPU, llamada Registros.
Aritmtica: Rama de las matemticas que estudia ciertas operaciones de los
nmeros y sus propiedades elementales.
Axioma: Principio bsico que se asume como verdadero sin recurrir o requerir de
demostracin alguna.
Complementario: operador algebraico que invierte el valor de una variable, es
decir, si X denota la seal de entrada de un inversor, entonces X representa el
complemento de tal seal. Por ejemplo si X=0, entonces X=1.
Cuneiforme: Que tiene forma de cua o clavo. Se aplica a un tipo de escritura a
base de marcas en forma de cua, propia de los antiguos pueblos de Asia.
Guarismos: Relativo al nmero que representa la ltima cifra del ao ganadero
en que nace una res y con la que es marcada en el brazuelo durante el
herradero.
Latch: Circuito electrnico usado para almacenar informacin.
Led: Siglas de Light Emitting Diode (Diodo Emisor de Luz), un pequeo dispositivo
que emite luz cuando recibe electricidad. En las computadoras son utilizados
para indicar la actividad de las unidades de discos, y el encendido de las PCs y
algunos perifricos.
Puerta Lgica: Dispositivo electrnico que es la expresin fsica de un operador
booleano en la lgica de conmutacin. Cada puerta lgica consiste en una red
de dispositivos interruptores que cumple las condiciones booleanas para el
operador particular. Son esencialmente circuitos de conmutacin integrados en
un chip.
QuadCore: Procesador diseado por Intel caracterizado por contar cuatros
ncleos en un solo chip, es el procesador de ultima generacin y sale al mercado
a inicios de 2007.
Relevador: Dispositivo electromecnico, que funciona como un interruptor
controlado por un circuito elctrico en el que, por medio de un electroimn, se
acciona un juego de uno o varios contactos que permiten abrir o cerrar otros
circuitos elctricos independientes.
SET: Cantidad de comandos o instrucciones que puede utilizar un dispositivo.

ING JUAN ALBERTO VAZQUEZ G.


Pgina 61 de 62
CESVER Material Bibliogrfico de Apoyo Didctico
Ing. Sistemas Computacionales Diseo Digital 5 CUAT.

Schottky: Dispositivo semiconductor que proporciona conmutaciones muy


rpidas entre los estados de conduccin directa e inversa (menos de 1ns en
dispositivos pequeos de 5 mm de dimetro).
Transistor: Dispositivo electrnico semiconductor que cumple funciones de
amplificador, oscilador, conmutador o rectificador. El trmino "transistor" es la
contraccin en ingls de transfer resistor ("resistencia de transferencia").
Transistor de efecto de campo: (Field-Effect Transistor o FET, en ingls) es en
realidad una familia de transistores que se basan en el campo elctrico para
controlar la conductividad de un "canal" en un material semiconductor.

BIBLIOGRAFIA:
Diseo Digital, M. Morris Mano, Ed. Prentice Hall, 1987
Anlisis y Diseo de Circuitos Lgicos Digitales, Nelson-Nagle-Carroll-Irain, Prentice Hall
LOGIC AND COMPUTER DESIGN FUNDAMENTALS, M. Morris Mano & Charles R. Kime
2001 Prentice Hall

REFERENCIAS:
http://jagarza.fime.uanl.mx/e2007/Clases/snumer.doc
aaa.iec.uia.mx/acad/bmersch/DDlab/codigos.pdf
http://aeb.itchetumal.edu.mx/v2006/paginasvar/Maestros/mduran/Archivo
s/Unidad%203%20Algebra%20Booleana.pdf
http://lc.fie.umich.mx/~jrincon/elec3-cap4.pdf
http://aendyj20.tripod.com/p2.html
http://aaa.esimez.ipn.mx/acadcompu/apuntes_circ_digitales/algebra%20d
e%20boole.pdf
http://aaa.euitt.upm.es/taee/demo_paed/comentarios/com_2_2.htm#sim
plificar
http://es.geocities.com/jeeesusmeeerino/sistnum/binario/binario.html
http://www.cmelectronics.8m.com/flip_flops.html

ING JUAN ALBERTO VAZQUEZ G.


Pgina 62 de 62

You might also like