You are on page 1of 10

INSTITUTO TECNOLGICO SUPERIOR DE

MOTUL

INGENIERA ELECTRNICA

MATERIA:
DISEO DIGITAL CON VHDL.

MAESTRO:

BASTO UC OMAR EDUARDO

SEMESTRE 2017A

RESUMEN
EL USO DE SOFTWARE PARA EL DESARROLLO DE
PROGRAMAS DE DESCRIPCIN DE CIRCUITOS SECUENCIALES
DIGITALES EN VHDL.

FECHA DE ENTREGA

31/08/2017
CARDENAS ORTEGA JESUS EDUARDO
MATRICULA 14120010
GRADO Y GRUPO
5A

1
NDICE

Contenido
NDICE ......................................................................................................................................................... 2
INTRODUCCION ............................................................................................................................................. 3
SUS VENTAJAS ......................................................................................................................................... 3
VHDL .............................................................................................................................................................. 5
ENTIDAD ........................................................................................................................................................ 6
PUERTOS DE ENTRADA SALIDA ................................................................................................................... 7
MODOS .......................................................................................................................................................... 7
TIPOS DE DESCRIPCION DE PROGRAMA (ESTRUCTURA)............................................................................... 8
DESCRIPCIN DE COMPORTAMIENTO .......................................................................................................... 8
DESCRIPCIN DE FLUJO DE DATOS ............................................................................................................... 8
DESCRIPCIN ESTRUCTURAL ......................................................................................................................... 9
DISEO CON VHDL ........................................................................................................................................ 9
BIBLIOGRAFIA. ............................................................................................................................................. 10

2
INTRODUCCION

Los lenguajes de programacin de harware (HDLs, Hardware Description Languages)


se han utilizado desde los aos 70 en los ciclos de diseo de sistemas digitales
asistidos por herramientas de CAD electrnico. De primero surgieron una serie de
lenguajes que no llegaron a alcanzar un xito que permitiera su consolidacin en el
campo industrial o acadmico. En los aos 80 aparecen los lenguajes Verilog y VHDL
que, aprovechando la disponibilidad de herramientas hardware y software cada vez
ms potentes y asequibles y los adelantos en las tecnologas de fabricacin de circuitos
integrados, logran imponerse como herramientas imprescindibles en el desarrollo de
nuevos sistemas. En la actualidad ambos lenguajes estn normalizados y comparten
una posicin hegemnica que est arrinconando y terminar, probablemente, en poco
tiempo eliminando del mercado al resto de lenguajes que de un modo u otro todava
son soportados por algunas herramientas de CAD.

VHDL
V: VHSIC Very High Speed Integrated Circuit
H: Hardware
D: Description
L: Language

Lenguaje de descripcin hardware estructurado para modelar sistemas digitales.

TIPO DE PROGRAMA

VHDL sirve para describir la forma de comportarse de un circuito electrnico. El


comportamiento puede ser llevado a algn dispositivo que dispondr de sus propios
componentes para conseguir dicho comportamiento deseado.
La forma de comportarse es independiente del hardware donde se implementar.

EL VHDL ES UN ESTNDAR LLAMADO IEEE 1076-1993.


SUS VENTAJAS:
Una disponibilidad pblica.
Independencia de dispositivos y fabricantes
Reutilizacin
Diseo jerrquico

3
4
VHDL
El lenguaje de descripcin en hardware VHDL se estructura en mdulos o unidades
funcionales, identificados mediante una palabra reservada y particular de este lenguaje.
En tanto, a su vez, cada mdulo tiene una secuencia de instrucciones o sentencias, las
cuales, en conjunto con las declaraciones de las unidades involucradas en el programa,
permiten la descripcin, la comprensin, la evaluacin y la solucin de un sistema
digital. Al interior de la estructura de un programa, las unidades Entidad (Entity) y
Arquitectura (Architecture) en conjunto forman la columna vertebral de este
lenguaje.
Por su parte, los mdulos restantes, no necesariamente utilizados en la bsqueda de
una solucin, sirven entre otras cosas para optimizar y generalizar la aplicacin en
futuros desarrollos, como se ver cuando la ocasin se presente. Sin embargo, en este
momento nuestra atencin se centra en describir la funcin de la entidad y la
arquitectura.

Library = Bibliotecas
Entity = Entidad
Architecture = Arquitectura

Package = Paquete
Component = Componente

5
ENTIDAD

Una entidad bsicamente representa la caracterizacin del dispositivo fsico; es decir, exhibe las
entradas y las salidas del circuito (llamados pins) que el diseador ha considerado
pertinentes para integrar su idea o aplicacin;
Con base en esta idea, una entity

por la palabra reservada del programa

constituye un bloque de diseo que puede ser analizado y programado como un


elemento individual, ya sea como una compuerta, un sumador o un decodificador, entre
otros, incluso ser considerado como un sistema a travs de su relacin entre entradas y
salidas, las cuales representan los puntos de observacin o de conexin a elementos
perifricos propios de la aplicacin.

6
PUERTOS DE ENTRADA SALIDA

Cada una de las seales de entrada y salida en una entidad es referida como un puerto,
el cual es equivalente a una terminal (pin) de un smbolo esquemtico. Todos los
puertos que son declarados deben tener un nombre, un modo y un tipo de dato.
El nombre es utilizado como una forma de llamar al puerto; el modo permite definir la
direccin que tomar la informacin, mientras que el tipo precisa qu clase de
informacin se transmitir a travs del puerto. Por ejemplo, en el caso de los puertos de
la entidad representada en la figura 1.5, aquellos que son de entrada estn indicados
por las variables a y b; mientras que el puerto de salida se representa por la variable c.
Por otra parte, el tipo de dato ser tratado ms adelante.

MODOS
Como se mencion antes, un modo permite definir la direccin hacia donde el dato es
transferido. Un modo puede tener uno de cuatro valores: in (entrada), out (salida),
inout (entrada/salida) y buffer.

Modo in. Se refiere a las seales de entrada a la entidad. El modo in es solo


unidireccional y nicamente permite el flujo de datos hacia dentro de la entidad.
Modo out. Indica las seales de salida de la entidad.
Modo inout. Permite declarar a un puerto de forma bidireccional, es decir como
de entrada/salida, adems hace posible la retroalimentacin de seales dentro o
fuera de la entidad.
Modo buffer. Permite realizar retroalimentaciones dentro de la entidad; pero, a
diferencia del modo inout, el puerto declarado se comporta como una terminal
exclusiva de salida.

7
TIPOS DE DESCRIPCION DE PROGRAMA (ESTRUCTURA)

Como se ha dicho antes, VHDL sirve para describir un circuito electrnico, pero el
mismo circuito puede ser descrito de varias formas. Las formas de hacerlo son:
- Descripcin de comportamiento
- Descripcin de flujo de datos (RTL Registred Transfer Level)
- Descripcin estructural
Los tres ejemplos de cdigo son slo las arquitecturas, los tres podran tener como
entidad:

DESCRIPCIN DE COMPORTAMIENTO
Una descripcin de comportamiento de un multiplexor de dos entradas, una salida y
una seal de seleccin sera: la salida ser igual a la primera entrada si la seal de
control est desactivada y la salida ser la segunda entrada si la seal de control est
activada.
En VHDL la arquitectura de este multiplexor para la entidad llamada mux sera:

DESCRIPCIN DE FLUJO DE DATOS


En una descripcin de flujo de datos del mismo multiplexor la salida sera la ecuacin
lgica: s=(entrada1 AND NOT control) OR (entrada2 AND control)
En VHDL la arquitectura sera:

8
DESCRIPCIN ESTRUCTURAL
Una descripcin estructural consistira en decir que el circuito tiene una puerta inversora
U1, dos puertas AND de dos entradas U2 y U3 y una puerta lgica OR de dos entradas
U4, adems tambin describe las conexiones entre estas puertas, un ejemplo sera: la
entrada de U1 es la seal de control, la salida de U1 se conecta a la segunda entrada
de U2, la primera entrada de U1 es entrada1, etc.
El cdigo VHDL sera:

DISEO CON VHDL

El lenguaje VHDL es en principio independiente del dispositivo programable que


debamos utilizar. Puede incluso utilizarse para hacer una simulacin sin hacer
referencia al CI programable. Adems, el lenguaje es suficientemente flexible para que
un mismo problema admita diferentes codificaciones.
Con la generacin del netlist (sntesis) tenemos la descripcin de una serie de
elementos lgicos conectados y podemos pasar a realizar la validacin del diseo,
mediante la simulacin. La simulacin puede ser funcional, considerando los elementos
como ideales (sin retrasos), o estructural, en el que la herramienta informtica incorpora
modelos ms elaborados de los elementos lgicos que permiten realizar la simulacin
incorporando retrasos.

9
BIBLIOGRAFIA.
http://electronicaintegradaunexpo.blogspot.mx/2008/02/gal-y-vhdl.html
https://books.google.com.mx/books?id=Z_EjcfIQqGgC&q=vhdl&dq=vhdl&hl=es-
419&sa=X&ved=0ahUKEwjn7dre8o_WAhVEOSYKHUS-ASsQ6AEIKTAA

10

You might also like