You are on page 1of 3
Quantization (liner vs. logarithmic) Linear Quantization (uniform) =t ¢ The 127 quantization levels are spread evenly over the voice signal’ dynamic range © This gives loud voice signals the same degree of rasolution (same step size) as soft voice signals ¢ Encoding an analog signal in this manner, while conceptually simplistic, does not give ‘optimized fidelity in the reconstruction of human voice Logarithmic Quantization =f i | Most of the eneray in human voice is concentrated in the lower end of voice’s dynamic range (no shouting — just from the boss) Quantization levels distributed according to 8 logarithmic, instead of linear, function gives finer resolution, or smaller quantization steps, at lover signal amplitudes S-bit PCM in North America uses a logarithmic function called prlaw and in Europe A-lave Intersymbol Interference (ISI) = If the transmission channel is bandlimited, then high frequency components will be cut off ~ Hence, the pulses will spread out — If the pulse spread out into the adjacent symbol periods, then it is said that intersymbol interference (ISI) has occurred Intersymbol Interference (ISI) ® Intersymbol interference (ISI) occurs when a pulse spreads out in such a way that it interferes with adjacent pulses at the sample instant = Causes — Channel induced distortion which spreads or disperses the pulses — Multipath effects (echo) ® ven Intersymbol Interference FIf the rectangular multilevel pulses are filtered improperly as they pass through a communications system, they will spread in time, and the pulse for each symbol may be smeared into adjacent time slots and cause Intersymbol Interference. Tpit waveform, w,(0) Individual pulse response Received waveform, tau(t) (sum of pulse responses) Sampling points LL Sampling points J LL Sampling points J (eansmitter clock) (teveiver clock) (receiver lock) Fi 3-28 Examples of ISI on received pulses in a binary communication system. >How can we restrict BW and at the same time not introduce ISI? 3 Techniques. Eeng360 5

You might also like