You are on page 1of 2

Anda mungkin memperhatikan dua fitur yang berbeda tentang komparator dari

tabel kebenaran di atas. Pertama, rangkaian tidak membedakan antara dua "0" atau
dua "1" sebagai output A = B dihasilkan saat keduanya sama, baik A = B = "0"
atau A = B = "1".

Kedua, kondisi output untuk A = B menyerupai gerbang logika yang umum


tersedia, fungsi Eksklusif-NOR atau Ex-NOR (ekuivalent) pada masing-masing n-
bit yang memberi: Q = 'A ⊕ B'

Komparator digital benar-benar menggunakan gerbang Exclusive-NOR dalam


desain mereka untuk membandingkan pasangan masing-masing bit.

Ketika kita membandingkan dua nilai biner atau BCD atau variabel satu sama lain,
kita membandingkan "besarnya" nilai-nilai ini, sebuah logika "0" terhadap logika
"1" dari mana istilah Besaran komparator berasal.

Serta membandingkan bit individu, kita dapat merancang komparator bit yang
lebih besar dengan mengalirkan n bersama-sama n dan menghasilkan komparator
n-bit seperti yang kita lakukan untuk adder n-bit di tutorial sebelumnya.

Komparator multi-bit dapat dibangun untuk membandingkan keseluruhan kata


biner atau BCD untuk menghasilkan output jika satu kata lebih besar, sama dengan
atau kurang dari yang lain.

Contoh yang sangat bagus dari ini adalah Komparator Besaran 4-bit. Di sini, dua
kata 4-bit ("nibbles") dibandingkan satu sama lain untuk menghasilkan output yang
relevan dengan satu angka yang terhubung ke input A dan yang lainnya untuk
dibandingkan terhadap terhubung ke input B seperti yang ditunjukkan di bawah
ini. Komparator Besaran 4-bit

Beberapa komparator digital yang tersedia secara komersial seperti komparator besaran TTL
74LS85 atau CMOS 4063 4-bit memiliki terminal input tambahan yang memungkinkan
komparator individual menjadi "mengalir" bersama untuk membandingkan angka yang lebih
besar dari 4-bit dengan pembanding besarnya "n"-bits dihasilkan.

Input mengalir ini dihubungkan langsung ke output yang sesuai dari komparator sebelumnya
seperti yang ditunjukkan untuk membandingkan 8, 16 atau bahkan kata-kata 32-bit.

Komparator Besaran 8-bit Angka


Saat membandingkan bilangan biner atau BCD besar seperti contoh di atas, untuk
menghemat waktu komparator dimulai dengan membandingkan bit orde tertinggi (MSB)
terlebih dahulu. Jika persamaan ada, A = B maka akan membandingkan bit terendah
berikutnya dan seterusnya sampai mencapai bit dengan urutan terendah, (LSB). Jika
persamaan masih ada maka kedua angka tersebut didefinisikan sama.

Jika ketidaksetaraan ditemukan, A > B atau A< B hubungan antara kedua angka ditentukan
dan perbandingan antara beberapa bit turun yang lebih rendah akan berhenti. Komparator
Digital digunakan secara luas dalam konverter Analog-ke-Digital, (ADC) dan Unit Logika
Aritmatika, (ALU) untuk melakukan berbagai operasi aritmatika.

You might also like