Professional Documents
Culture Documents
I. INTRODUCCIÓN.
II. METODOLOGÍA
Se declara una señal ‘me_rom’, la cual ya trae 16 datos ✓ Las memorias ROM, por sus características de no
que se leerán posteriormente. permitir modificar su información almacenada, son generalmente
usadas por fabricantes de productos electrónicos con funciones
específicas y que no necesitan de modificación alguna de
Se declara una segunda señal llamada ‘dat_tempo’, la
información.
cual tiene un vector de seis bits, la cual me permite
almacenar en ella los valores pedidos por la dirección.
La señal ‘dat_tempo’ es igual a la señal ‘me_rom’ por la ✓ Las memorias RAM, por el contrario, sí permiten
dirección convertida en entero. modificar su información, siendo estas de uso más comercial que
las memorias ROM, pues, por ejemplo, en los teléfonos celulares
En el proceso se designa un rising_edge el cual se ejecuta o los ordenadores, se necesita modificar la información con
en cada uno de los flancos del reloj, al cumplirse esto el relativa frecuencia, por lo que siempre vienen con una memoria
dato de salida es igual a la señal ‘dat_tempo’. RAM incorporada con suficiente capacidad como para un buen
funcionamiento del dispsitivo.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
---declaracion de la entidad--
entity m_ram is
end m_ram ;
---declaracion de la arquitectura--
signal r : m ;
begin
if (redwrit= '1')then
r(conv_integer(ddr))<= dato_in;
end if ;
end process ;
end funcional ;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
--declaracion de la entidad--
entity m_rom is
end m_rom ;
---declaracion de la arquitectura
begin
dat_tempo<=me_rom(conv_integer(ddr));