You are on page 1of 40

Materials Science and Engineering R 72 (2011) 97–136

Contents lists available at ScienceDirect

Materials Science and Engineering R


journal homepage: www.elsevier.com/locate/mser

Development of hafnium based high-k materials—A review


J.H. Choi 1, Y. Mao 1,2, J.P. Chang *
Department of Chemical and Biomolecular Engineering, UCLA, Los Angeles, CA 90095, United States

A R T I C L E I N F O A B S T R A C T

Article history: The move to implement metal oxide based gate dielectrics in a metal-oxide-semiconductor field effect
Available online 15 January 2011 transistor is considered one of the most dramatic advances in materials science since the invention of
silicon based transistors. Metal oxides are superior to SiO2 in terms of their higher dielectric constants
Keywords: that enable the required continuous down-scaling of the electrical thickness of the dielectric layer while
Hafnium providing a physically thicker layer to suppress the quantum mechanical tunneling through the
Thin films dielectric layer. Over the last decade, hafnium based materials have emerged as the designated
High-k materials
dielectrics for future generation of nano-electronics with a gate length less than 45 nm, though there
exists no consensus on the exact composition of these materials, as evolving device architectures dictate
different considerations when optimizing a gate dielectric material. In addition, the implementation of a
non-silicon based gate dielectric means a paradigm shift from diffusion based thermal processes to
atomic layer deposition processes. In this report, we review how HfO2 emerges from all likely candidates
to become the new gold standard in the microelectronics industry, its different phases, reported
electrical properties, and materials processing techniques. Then we use specific examples to discuss the
evolution in designing hafnium based materials, from binary to complex oxides and to non-oxide forms
as gate dielectric, metal gates and diffusion barriers. To address the impact of these hafnium based
materials, their interfaces with silicon as well as a variety of semiconductors are discussed. Finally, the
integration issues are highlighted, including carrier scattering, interface state passivation, phonon
engineering, and nano-scale patterning, which are essential to realize future generations of devices using
hafnium-based high-k materials.
ß 2010 Elsevier B.V. All rights reserved.

Contents

1. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ................................ . . . . . . . . . . . . . . . . . . . . . . . . . . . 98
2. Hafnium oxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ................................ . . . . . . . . . . . . . . . . . . . . . . . . . . 100
2.1. IV-B metal oxides: HfO2, ZrO2 and TiO2. . . . . . . . . . . . . . . . ................................ . . . . . . . . . . . . . . . . . . . . . . . . . . 100
2.2. HfO2: Structural-processing correlation . . . . . . . . . . . . . . . . ................................ . . . . . . . . . . . . . . . . . . . . . . . . . . 100
2.3. HfO2: Electrical properties . . . . . . . . . . . . . . . . . . . . . . . . . . ................................ . . . . . . . . . . . . . . . . . . . . . . . . . . 101
2.4. Deposition methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ................................ . . . . . . . . . . . . . . . . . . . . . . . . . . 103
2.4.1. Solution based process: Sol–gel method . . . . . . . ................................ . . . . . . . . . . . . . . . . . . . . . . . . . . 103
2.4.2. Physical vapor deposition method . . . . . . . . . . . . ................................ . . . . . . . . . . . . . . . . . . . . . . . . . . 103
2.4.3. Chemical vapor deposition method . . . . . . . . . . . ................................ . . . . . . . . . . . . . . . . . . . . . . . . . . 104
2.4.4. Atomic layer deposition method. . . . . . . . . . . . . . ................................ . . . . . . . . . . . . . . . . . . . . . . . . . . 105
2.5. Approach to design high-k materials . . . . . . . . . . . . . . . . . . ................................ . . . . . . . . . . . . . . . . . . . . . . . . . . 107
2.5.1. Ensuring an adequate band offset. . . . . . . . . . . . . ................................ . . . . . . . . . . . . . . . . . . . . . . . . . . 107
2.5.2. Ensuring a thermodynamically stable interface . . ................................ . . . . . . . . . . . . . . . . . . . . . . . . . . 107
2.5.3. Determining the crystal stability as a function of dopant incorporation and temperature . . . . . . . . . . . . . . . . . . . . . . . . . . 108
2.5.4. Alternate interface design (MOx/SiO2/Si) . . . . . . . ................................ . . . . . . . . . . . . . . . . . . . . . . . . . . 109
2.5.5. Minimizing the gate leakage currents . . . . . . . . . ................................ . . . . . . . . . . . . . . . . . . . . . . . . . . 109
2.5.6. Assessing the factors affecting channel mobility . ................................ . . . . . . . . . . . . . . . . . . . . . . . . . . 110

* Corresponding author. Tel.: +1 310 206 7980.


E-mail address: jpchang@seas.ucla.edu (J.P. Chang).
1
These two authors contributed equally to this report.
2
Current address: Department of Chemistry, University of Texas-Pan American, Edinburg, TX 78539, United States.

0927-796X/$ – see front matter ß 2010 Elsevier B.V. All rights reserved.
doi:10.1016/j.mser.2010.12.001
98 J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136

2.5.7. Evaluating the work function for nMOSFETs and pMOSFETs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111
2.5.8. Determining the Fermi level pinning with target metal gates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112
3. Hafnium based complex materials as gate dielectrics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112
3.1. Hafnium silicate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114
3.2. Hafnium aluminate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115
3.3. Hafnium lanthanate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116
3.4. Hafnium oxynitride. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117
4. Hafnium based complex materials for metal gate and diffusion barriers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118
4.1. Hafnium nitride . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118
4.2. Hafnium ruthenium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119
4.3. Hafnium diboride and transition metal phosphides for diffusion barriers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119
5. Interfaces with semiconductors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121
5.1. Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121
5.2. Germanium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123
5.3. Gallium nitride . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126
5.4. Indium phosphide and gallium arsenide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126
5.5. Silicon carbide. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128
6. Integration challenges . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129
6.1. Interface engineering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129
6.2. Scattering effect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130
6.3. Nano-scaling patterning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131
6.3.1. Dry plasma etching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131
6.3.2. Area-selective ALD. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132
7. Concluding remarks and perspectives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133
Acknowledgements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133

1. Introduction Planck’s constant, the thickness of the electrode, and the Fermi–
Dirac distribution of electrons designating the occupancy of an
For the continuing miniaturization of complementary metal- energy state of Et in the electrode and insulator, respectively.
oxide-semiconductor field effect transistors (CMOSFETs) in ultra- Hence, for SiO2 gate oxide layers of 1.2 nm, electron tunneling
large scale integrated (ULSI) circuits, the rapid development of new results in a leakage current level that is unacceptably high even for
functional materials has opened up new opportunities in recent high performance devices, and much too high for increasingly
years. Over the last few decades, tremendous improvements in popular low-power applications [1].
processing have produced high-quality SiO2 layers with the This scaling limit has prompted intensive basic research to find
required thickness and very few electronic defects in amorphous an alternative material with a higher dielectric constant (high-k)
structure and forms an excellent interface with Si. However, the than SiO2 to serve as gate oxides. These materials provide a
fundamental thickness limitation for SiO2 gate oxide layers of physically thicker layer to suppress the quantum mechanical
1.2 nm has reached a number of years ago based on the tunneling tunneling through the dielectric layer while achieving the needed
current-density (j) equation below: equivalent-oxide-thickness (EOT) to enable the continued down-
scaling of a wide variety of devices. The initial evaluation for gate
rm ðEt Þ oxides thus focused on the dielectric constants, which are
j¼ f f m ðEt Þ ½1  f i ðEt Þ  f i ðEt Þ ½1
V attributed to the polarizability stemming from electronic and
Z 2
8phl ionic dipoles in the GHz frequency window that is needed in CMOS,
 f m ðEt Þg dS  ji f A=cm2
Et as shown in Fig. 1(a) [2]. The fact that the dielectric response is
mainly based on ionic and electronic polarization at high
where rm(Et) is the number of allowed quantum states on the frequencies dictates a metal element that forms an ionic bond
equal-energy surface in the reciprocal space of the electrode. V, £, l, with oxygen and has a large atomic number (=number of
fm(Et), and fi(Et) are the volume of the electrode, the reduced electrons). Transition metal oxides thus emerged as promising

Fig. 1. (a) A schematic diagram illustrating the dependence of static dielectric constant on frequency, re-plotted with data obtained from [2]. (b) Bandgap (Eg) versus static
dielectric constant (k) and electrical breakdown field (Ebd) for representative high-k materials, re-plotted with data from [3–5].
J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136 99

Fig. 2. (a) Scanning electron microscopy (SEM) microscopy of a logic device with high-k materials and metal gate in the transistor (www.semiconductor.com/). (b) and (c)
Transmission electron microscopy (TEM) images of PMOSFET with hafnium based high-k materials.Reprinted from [9].

candidates, especially those of heavy metal elements, to maximize operation voltage of 1–1.5 V, the leakage current through HfO2
the dielectric constants. However, it is quickly realized that a dielectric films was reported to be several orders of magnitude
general compromising relationship exists for these materials lower than that of SiO2 with the same EOT, 0.9–2 nm [10–12].
between the static dielectric constant (k), the attainable bandgap Though hafnium dioxide is the designated gate-dielectric
(Eg), and the electrical breakdown field (Ebd), as shown in Fig. 1(b) replacement material, it is still far from being ideal, especially
[3–5]. This behavior is expected qualitatively since stronger concerning its interface with silicon (and other semiconductors).
polarizability implies weaker bonding, and weaker bonding As such, hafnium based complex oxides have been aggressively
implies a smaller separation between bonding and antibonding pursued as viable candidates with further improved properties.
energies [3]. This renders some very high-k materials, such as Fig. 3(a) and (b) highlights how the composition of hafnium-based
SrTiO3 (k = 200, Eg = 3 eV and Ebd = 2.2–2.3), unsuitable for MOSFET materials affects their band alignment with silicon, one of the key
applications due to their rather small bandgaps [3–6]. parameters in MOSFETs. It also illustrates how hafnium dioxide
Since it is not possible to simultaneously maximize k, Eg and Ebd interfaces with a number of semiconductors that has the potential
for a simple binary oxide, they need to be carefully balanced to of extending its applications into silicon based devices with a high
optimize the performance of different devices and circuits. In mobility channel or non-silicon based devices. Therefore, a
general, a promising high-k material should have a dielectric thorough understanding of these materials and their interfaces
constant between 10 and 30, a bandgap above 5 eV, and band is crucial to the success of MOSFET gate stacks since the chemical
offsets with a semiconductor substrate above 1 eV to minimize potential difference and reaction kinetics dictate the resulting
carrier injection. High-k materials should be thermally stable electronic properties and can be dramatically improved by altering
within the thermal budget, i.e., up to 1000 K and 90 s, in order to the chemical environment at the interfacial [13,14].
form a compatible electrical interface with semiconductor sub- Using the guidelines stated above as motivation, this review
strates [7]. This consideration makes most of early high-k begins with how hafnium based materials emerged as the prime
candidates from memory applications such as Ta2O5 non-ideal candidate from a group of closely related materials such as ZrO2 and
due to their deleterious reactions with silicon [8]. TiO2. Additionally, the related materials processing techniques,
Through a careful balance of all pertinent requirements for a parameters, theoretical simulation and precursor chemistries that
gate dielectric material, hafnium based materials emerged over the have a synergistic and dominant effect on the quality, uniformity,
last decade as the designated dielectrics for future generation of conformality and properties of the synthesized materials are
nano-electronics and was successfully introduced into production discussed. After that, specific examples are presented to discuss
in 2007, as shown in Fig. 2 [9]. Hafnium dioxide has a high the evolution in designing hafnium based complex materials for gate
permittivity (a dielectric constant about 25 and a relatively large dielectric applications, especially the effect of incorporating
bandgap, 5.7 eV), large heat of formation (271 kcal/mol, higher additional elements, such as Si, Al, La and N, for improving dielectric
than that of SiO2: 218 kcal/mol), good thermal and chemical properties. Next, the interfaces of hafnium based materials with a
stability on silicon, large barrier heights at interfaces with Si, and variety of semiconductors are discussed to assess their full potential
adequate compatibility with n+ polysilicon gate electrodes. At an in various applications. Finally, integration issues are addressed in

Fig. 3. (a) Band diagrams of hafnium-based materials on Si, from [15–17], and (b) band diagrams of hafnium dioxide on different semiconductors, from [15,18–23], all based
on XPS analysis results. (c) Total mobility for various high-k dielectrics on Si (0 0 1) substrate including scattering with bulk phonons, surface roughness and remote phonon,
re-plotted from [24].
100 J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136

Table 1
Comparisons of crystal structure, bandgap (Eg), dielectric constant (k), theoretical electrical breakdown field strength (Ebd), heat of formation (DH) in HfO2, ZrO2 and TiO2 and

Gibbs free energy (DG1000 ) for an interfacial reaction with silicon, Si + MO2 ! M + SiO2 at 1000 K.

MO2 HfO2 ZrO2 TiO2

Crystal structure (8C) Monoclinic: <1022 Monoclinic: <1170 Tetragonal:


Tetragonal: 1022–2422 Tetragonal: 1170–2370 Anatase: <600
Cubic: >2422 Cubic: 2370–2680 Rutile: >700

Eg (eV) 5.5–6.0 5.0–7.0 3.0–3.5


k 22–25 22–24 80–110
Ebd (MV/cm) 3.9–6.7 3.3–5.7 1.4–2.5
DH (kcal/mol) 271 257 225

DG1000 (kcal/mol) 47.6 42.3 7.5
References [25–29] [4,27,28,30–32] [4,27,28,33–35]

order to realize future generations of devices based on hafnium- 2.2. HfO2: Structural-processing correlation
containing dielectrics. The focus of this review is not on a
comprehensive coverage of all material issues/systems studied, The effect of crystal structure on critical materials properties,
but rather to provide a framework to assess the feasibility of ground- including stability, dielectric constant, bandgap, and point defect
breaking new high-k materials on various semiconductors in the formation energy, has long been recognized to be of great
future. fundamental and technological importance and thus is of natural
significance for HfO2. The effects of stoichiometry, temperature and
2. Hafnium oxide pressure have been studied and the phase diagram of Hf–O has been
determined [49,50]. Fig. 4 shows the unit cells of HfO2 in various
2.1. IV-B metal oxides: HfO2, ZrO2 and TiO2 crystal structures [51]. When exposed to oxygen and ambient
conditions, in general, hafnium bonds to oxygen and becomes seven-
Significant attention has been paid to IV-B metal oxides, fold coordinated. Baddeleyite is a common structure among
especially HfO2, ZrO2 and TiO2, for their potential as alternative sevenfold coordinated dioxides [34]. HfO2 possesses monoclinic
gate dielectrics to replace SiO2. Table 1 summarizes the key baddeleyite structure P21/c (Z = 4) at ambient temperature and
parameters considered during evaluation, thereby providing pressure, which has the lowest free energy of formation and the
insights on the selection criteria within a class of materials. largest volume [52,53]. It transforms from monoclinic (P21/c,
ZrO2 is isomorphous to HfO2 with a similar structure [36]. ZrO2 1022 8C) into tetragonal (P42nmc, 1022–2422 8C), and cubic
is the only thermodynamically stable solid form of zirconium with structure of CaF2 type (Fm3m, >2422 8C) as temperature increases.
a simple fluorite structure. It may exist in either monoclinic HfO2 undergoes phase transitions at 4.3  0.2, 12  0.5, and
(<1170 8C), tetragonal (1170–2370 8C), or cubic (2370–2680 8C) 28  2 GPa to denser structures in the following sequence: P21/c
crystalline structures. It is well known that the cubic phase of ZrO2 (monoclinic (MI)) ! Pbcm (Pbca, orthorhombic (OI)) ! Pnma (ortho-
can be stabilized by incorporating another oxide such as MgO, rhombic (OII)), and the phase OII quenches at pressures in excess of
CeO2, or Y2O3. At high temperatures, phase transformation has 30 GPa [15,54–56].
been reported with a correspondingly marked change in the Thin films of HfO2 often exhibit multiple crystallographic
electrical conductivity [37,38]. ZrO2 has a high dielectric constant phases and/or size-dependent phase transitions among multiple
(22–24), a high breakdown field (3.3–5.7 MV/cm) and a large polymorphs. Cubic and tetragonal phases of HfO2 have sometimes
bandgap (5–7 eV) [27] but is actually slightly unstable and can been observed in small amounts alongside monoclinic structure in
react with Si to form a silicide, ZrSi2 [39]. Regarding electrical thin films (mainly due to interface strains), although the
property, ZrO2 is oxygen deficient when nonstoichiometric, monoclinic phase of HfO2 is the most stable phase at room
therefore the predominant defects have been proposed to be temperature [57,58]. Tetragonal phase has been shown to exist in
oxygen ion vacancies [40,41]. For the MOSFET application, an thin films of HfO2 deposited on Si, and its (0 0 1) surface forms a
amorphous ZrO2 film is preferred to prevent leakage current lattice matched interface with silicon with a lattice mismatch of
through crystalline grain boundaries. <5% and with minimum numbers of dangling bonds [59,60].
Depending on growth processes, TiO2 presents two important Orthorhombic phase was observed in films obtained by atomic
phases, anatase and rutile. TiO2 has the highest dielectric constants layer deposition (ALD) at 500 8C [61], whereas weak peaks of
(80–110) among these three IV-B oxides due to stronger tetragonal structure of HfO2 in HfO2–Ta2O5 nanolaminates were
polarizability and correspondingly the smallest bandgap (3.2– observed at 325 8C [62,63]. Cubic nanocrystallites were observed
3.5 eV for amorphous, 3.0–3.2 eV for crystalline phase) [42]. on the surface layers of monoclinic HfO2 films grown by ALD
Anatase TiO2 is thermally unstable with a lower dielectric constant method at substrate temperatures around 900 8C [64]. HfOx films
and transforms, at temperatures over 600 8C, into the rutile phase, with nonstoichiometric composition (x = 1.3–1.9) have also been
which is a thermally stable phase with a higher dielectric constant reported, but the effect on structure was not well described [65]. In
[33]. The high dielectric constant makes it a potential gate oxide addition, it was suggested that the structural evolution of HfO2
candidate in MOSFET, but with limited success due to its small films corresponds to crystal phase transition from amorphous to
bandgap and other limitations such as multiple oxidation states, strongly monocline depending on the amount of plasma-ion
Ti3+ and Ti4+, leading to various Ti–O bonds that provide leakage momentum transfer during plasma-ion-assisted film deposition
paths [42–48]. [66]. In addition, the microstructure and crystallinity of ultrathin
Among all IV-B oxides and other transition metal oxides, HfO2 is HfO2 films and ultrathin-walled HfO2 nanotubes were shown to
considered the most promising due to its large bandgap (5.5–6.0), exhibit size-dependent phase transition sequence from amor-
relatively high dielectric constant (22–25), high breakdown field phous (a-HfO2) to tetragonal (t-HfO2) and then monoclinic (m-
(3.9–6.7 MV/cm), high thermal stability and large heat of forma- HfO2) with increasing film and nanotube wall thickness [67]. These
tion (271 kcal/mol). Therefore, its structural-processing correla- characteristics are closely related to processing conditions and
tions are discussed in detail in next section. complicate their predicted material properties and long-term
J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136 101

Fig. 4. Unit cells of HfO2 compounds at equilibrium after the internal atomic positions are allowed to relax: (a) P21/c; (b) P42nmc; (c) Fm3m; (d) Pbca; (e) Pnma. Dark circles
represent Hf atoms and small light gray circles represent O atoms. Note the similarity between Pa3 and fluorite Fm3m arrangements; however, the O atoms in Pa3 are placed
very close to the center of the triangles formed by three Hf atoms, while in the Fm3m symmetry each O atom is placed at the center of a tetrahedron.Reprinted from [51].

reliabilities. As a result, it is more desirable to synthesize HfO2 or Hf Hf 5p states [70]. The bandgap of amorphous HfO2 was 5.7 eV as
based materials as a perfect single crystalline film or a completely determined by electron energy loss spectroscopy. Band calculation
amorphous one [68]. These ideals have their own challenges and results indicated the existence of light (0.3m0) and heavy (8.3m0)
resulted in some practical engineering solutions that are discussed holes in the HfO2 film and the effective mass of electron lies in the
in Section 3. interval of 0.7–2.0m0.
An oxygen vacancy in a large band-gap oxide changes electrical
2.3. HfO2: Electrical properties characteristics by forming defect states inside the bandgap. With
regard to hafnium oxide, the energy position of this defect level has
To assess the electrical properties of HfO2, several experimental been estimated theoretically [71] and experimentally [72] to be
techniques have been routinely used to determine barrier heights above the middle of the bandgap. As shown in Fig. 5, the
or band offsets at the oxide/semiconductor heterojunction, unoccupied part of the electronic structure of oxygen-deficient
including X-ray photoemission spectroscopy (XPS), infrared hafnium oxide (HfO1.8) was investigated using soft XAS at O K and
absorption or photoluminescence excitation spectroscopy, trans- Hf N3 edges [73]. Band-tail states beneath the unoccupied Hf 5d
port methods (capacitance voltage and current–voltage techni- band were observed in the O K-edge spectra, which indicated the
ques), and internal photoemission spectroscopy. Many of these non-negligible occupation of the Hf 5d state, consistent with
measurements have been consistent among various research ultraviolet photoemission spectrum. It was concluded that the
groups and further validated by first principles simulation using oxygen vacancy in the Hf oxide slightly enhanced the conduction
density functional theory (DFT) [15]. There are also increased band density of states. However, it did not involve long-range
needs in characterizing local and extended defects in HfO2, such as ferromagnetic spin order in the oxide because of the absence of
oxygen vacancies and their energy levels, grain boundaries and intersite electron correlation.
dislocations, which all dictate device performances. Evidence demonstrated that extended defects in metal oxides,
The 4f–5d hybridization in HfO2 thin films on Si was studied such as grain boundaries and dislocations, can significantly affect
using angle-resolved photoemission experiments to demonstrate the electronic properties of various devices. Recently, the diffusion
that core 4f states contributed to the valence band structure of and segregation of oxygen vacancies near a grain boundary in m-
HfO2 [69]. There was evidence of symmetry in shallow 4f levels and HfO2 was investigated by first principles calculation [74]. Both
wave vector dependent band dispersion in spite of the complica- neutral and positive vacancies were found to segregate to grain
tions of sample charging. The wave vector dependent band boundary. Positive vacancies with high concentrations up to
dispersion was consistent with the crystal structure of HfO2. 2.5 1014 cm2 may act as percolation paths for electron
When combined with XPS, X-ray diffraction (XRD), and X-ray tunneling. Positive vacancies are mobile in the bulk with an
absorption spectroscopy (XAS) analyses, it was shown that the activation energy for diffusion at 0.7 eV. On the contrary, m-HfO2
valence band of HfO2 consisted of three sub-bands separated by grain boundary has enhanced mobility parallel to boundary plane.
ionic gaps. The upper sub-band was formed by O 2p, Hf 4f, and Hf But once at the boundary, positive vacancies accumulated at the
5d states; the intermediate sub-band was formed by O 2s and Hf 4f grain boundary. The maximum concentration of vacancies that can
states, whereas the lower narrow sub-band was mainly formed by be accommodated at grain boundary is mainly limited by
102 J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136

Fig. 5. (a) A schematic diagram of the atomic arrangement at an HfOx<2/Si interface. For simplicity, all the bonds are shown as fourfold. The shape and darkness in each lobe
represent the electron density. The dashed circles indicate the spatial extent to which each XAS spectrum could detect the electronic structure. (b) The unoccupied density of
states of HfO1.8 probed by O K-edge XAS with circularly polarized photons. The results of atomic single cluster model calculations [d0(d1) ! d1(d2)] are shown as empty filled
bars, with the cluster used in the study shown in the inset.Reprinted from [73].

electrostatic repulsion. However, in a CMOS device, vacancies at results indicated that band alignment was strongly affected by
grain boundary may be partly or entirely neutralized by electrons crystalline structure and trap levels formed below conduction
from Si which may allow even larger vacancy clusters to band after the re-crystallization of HfO2 thin films.
accumulate [74]. Breakdown has been a major reliability issue in the design of
The effect of composition variation, crystal structure change gate dielectrics because time dependent dielectric breakdown
and defects also have a significant effect on the band alignments of should satisfy the 10-year lifetime criteria within operation
HfO2 on Si, as being widely studied by XPS combined with first voltages. When trap density reaches the critical values, a weak
principles simulation [15,16,75]. Theoretical calculations pre- localized breakdown path between the gate electrode and
dicted dangling bond states originating from the partial occupancy substrate develops, called the soft breakdown (SBD). The Joule
of Hf d orbitals at a stoichiometric HfO2/Si interface. Two general heating in the local breakdown path then leads to a significantly
strategies have been applied to alleviate this issue; one is by increased tunneling current passing through the layer, which is the
passivation and another by an interfacial layer. Both hydrogen hard breakdown (HBD) [79]. Kim et al. [80,81] investigated
passivation of Hf atoms at the interface as well as an increased Weibull slopes, area scaling factors, and lifetime projection for
oxygen concentration at the interface have been predicted to both soft breakdown and hard breakdown, in order to gain a better
remove the dangling bond states effectively. As shown in Fig. 6(a), understanding of the breakdown mechanism of HfO2 gate
theoretically calculated valence band offsets of HfO2/Si interface dielectrics. The statistics of gate oxide breakdown are usually
ranged from 2.69 to 3.04 eV and conduction band offsets ranged described by the Weibull distribution: F(x) = 1  exp[(x/a)b]
from 1.54 to 1.89 eV, and they were a function of the interface where b is the Weibull slope, a is the characteristic lifetime. The
composition and coordination numbers. The introduction of an area dependences and the chemical nature of the interfacial layer
interfacial layer, such as SiO2 or HfSiO4, has different effects, as for both soft and hard breakdown correlate with the Weibull
shown in Fig. 6(b) and (c). For an HfO2/SiOx/Si stack, the valence distribution with the respective. In Fig. 7(a), the solid lines
band offset is of 4.75 eV for SiO2 and 2.53 eV for HfO2 [76]. For the represent the hard breakdown distributions, and the dashed lines
HfO2/Hf1xSixO2/Si heterostructure, the valence band offsets are represent the soft breakdown over a fixed area. The Weibull slope,
3.0 and 3.8 eV for Hf1xSixO2 and HfO2, respectively [77]. Post- b, of hard breakdown is about 2, whereas that of soft breakdown is
deposition annealing (PDA) is also shown to affect band alignment about 1.4 at EOT = 1.4 nm. In HfO2 MOSFET stack, it was found that
at the dielectric/semiconductor interface, likely due to the two-steps breakdown process was clearly observed and Weibull
chemical modification of interface, as stated above [78]. These slope of soft breakdown (1st soft breakdown) shows lower b value

Fig. 6. (a) Band alignments at stoichiometric and passivated/modified HfO2/Si interfaces. The thicker dark lines indicate partially occupied states for the stoichiometric
interfaces and the fully occupied states for other interfaces, re-plotted from [15]. (b) and (c) The band diagram of HfO2/SiO2/Si and HfO2/Hf1xSixO2/Si hetero-structures, based
on XPS analyses from [76,77]. (d) The schematic diagram of band structure of HfO2 thin films annealed at different temperatures, re-plotted from [78].
J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136 103

Fig. 7. (a) Weibull distribution comparison between soft and hard breakdown of HfO2. (b) A 10-year lifetime of 1.6 105 cm2 and its 0.1 cm2 (area) and 100 ppm (defect con.)
projection of HfO2 with b = 2. Reprinted from [80] (c) Weibull slope comparison between SiO2, Al2O3, and HfO2. Reprinted from [80].

compared to that of hard breakdown (2nd breakdown). The gate advantages and disadvantages, and corresponding materials and
voltage required to assure a 10-year lifetime is shown in Fig. 7(b). electrical properties of the synthesized thin films.
An estimated 10-year lifetime operating voltage for an area of
1.6 105 cm2 has been projected to be 2 V. Projection for 2.4.1. Solution based process: Sol–gel method
0.1 cm2 and a defect density of 100 ppm have been estimated to be Sol–gel method can be used to synthesize HfO2 thin films by using
1.75 V and 1.4 V using the obtained Weibull value of b = 2. As organometallic precursors such as hafnium tetrachloride [84],
shown in Fig. 7(c), Weibull slopes of HfO2 were compared to SiO2 hafnium ethoxide [85,86], and hafnium n-butoxide [87]. Materials
and Al2O3. The trend is similar to that for SiO2, i.e., Weibull slope synthesized by sol–gel methods generally offer significant advan-
decreases as thickness decreases. However, the magnitudes of b tages in the film fabrication of electronic materials, such as high
are quite different from those of SiO2. For SiO2, an expected purity, ease of composition control, relatively low processing
intrinsic breakdown of b is about 8, while HfO2 of similar physical temperature and large deposition area [88,89]. It was reported that
thickness (Tphy = 9.5–9.8 nm, EOT = 2.5 nm) has a smaller b (<4). HfO2 films crystallized to monoclinic phase at firing temperatures
Le et al. [82] studied a mathematical analogy between the above 500 8C or after being annealed at 550 8C and no other phases
dielectric breakdown in semiconductor electronic devices and the were observed up to 900 8C [84,86]. The dielectric constant of
finite-size weakest-link model for mechanical strength of quasi uniform and void free HfO2 films by sol–gel methods was in the
brittle structures. The theory accurately fits the observed kinked range of 15–22 [89,90] and the lowest leakage current density was
shape of the histograms of lifetime plotted in Weibull scale, as well 108 A/cm2 at an EOT of 0.6 nm and a bias voltage of 1.5 V [91].
as the measured dependence of the median lifetime on the gate
area or size, including its deviation from a power law. The theory 2.4.2. Physical vapor deposition method
also predicts that the Weibull modulus for breakdown lifetime Physical sputtering can be used to synthesize HfO2 thin films, by
increases in proportion to the thickness of the oxide layer. It has using a Hf-metal target and an oxygen plasma. It has been applied
been found that the Weibull modulus doubled (from 2 to 4) when to deposit high-k materials due to its simplicity, low contamina-
the gate dielectric thickness was increased from 4.8 to 9.7 nm. tion, and easily controllable growth of low-k interfacial layer at low
Overall, with proper structural and interface engineering, an temperature process and compositional consistency between the
ultrathin HfO2 gate dielectric has been shown to meet the criteria target and deposited film [92]. The as-grown HfO2 film was
for electrical performance and reliability. For example, a higher amorphous, while the structure of the HfO2 films annealed at
permittivity can be achieved in cubic (k  29) or in tetragonal different temperatures underwent a transformation from tetrago-
(k  70) phases, as opposed to the room-temperature stable nal to monoclinic phase and demonstrates a polycrystalline
monoclinic phase (k  16–18) [57,83]. Deposition process strongly structure at 1000 8C [92]. Various processing condition such as
affects crystal structure, defect density, interface states, as well as gas mixture (O2/Ar) [93], deposition voltage and thermal annealing
band alignment; therefore, careful studies of processing conditions allowed decent control of interfacial layer during HfO2 thin film
are necessary to understand their individual and synergistic effects processing [94]. Annealing in N2 ambient improved interface
on electrical properties. In next section, commonly employed properties of HfO2/Si and the densification of HfO2 thin films [95].
deposition processes are reviewed with a focus on the correlation Pulsed laser deposition (PLD) utilizes a high intensity laser pulse
between process parameters and the electrical properties. on an HfO2 ceramic target for the synthesis of HfO2 thin films. It
provides experimental simplicity and flexibility accompanied by
2.4. Deposition methods the possibility of maintaining target stoichiometry and a large
deposition rate. More importantly, PLD technique is well known
Since the thickness of high-k material layers is on the order of for the quality of layers grown at relatively lower substrate
nanometers, thin film processing parameters and process chemis- temperatures than other thin-film deposition methods [96,97]. It
try are critical factors of film homogeneity and dielectric was reported that thin films of HfO2 prepared by PLD were
performance, signifying the importance of chemical processing amorphous and transformed to a monoclinic phase at above 500 8C
and its control. For instance, it has been recognized that details of [96]. It was also found the transformation to orthorhombic phase
growth rates depend strongly on reactor type and direct starts after heat treatment at 500 8C in N2 [98,99]. The bandgap of
comparisons between different data are often problematic. HfO2 thin films by PLD method was observed to be 5.8 eV and the
Fig. 8 summarizes the various deposition methods that have lowest leakage current density was 108 A/cm2 at an EOT of
been used to synthesize hafnium based materials. They are 7.4 nm and a bias voltage of 1.5 V [99]. Dielectric constants of
generally divided into solution and gas-phase processes, and the these films were estimated to be in the range 17–24 from
latter includes both physical and chemical vapor deposition capacitance–voltage (C–V) measurements [100].
methods. The figure also includes the typical precursors used in Molecular beam epitaxy (MBE) offers another way to synthesize
each method along with a comparison of each respective HfO2 thin films using HfO2 ceramic pellets or metallic Hf. It is a very
104 J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136

Fig. 8. Comparisons of various deposition methods and the characteristics of the synthesized hafnium oxide thin films. (Amoph.: amorphous, Orthor.: orthorhombic, Tetra.:
tetragonal, k: static dielectric constant, Ebd (MV/cm): electrical breakdown field, J (A/cm2): leakage current.).

powerful and sophisticated technique, which can precisely control film thicknesses, mainly due to source evaporation with the aid of a
film growth in atomic scale and in favor of producing large size, carrier gas. Metal–organic precursor such as hafnium tetra-
uniform, high-quality films without impurities [101,102]. Howev- butoxide can be used with argon as a carrier gas or oxygen to
er, there is little report on the MBE growth of ultrathin HfO2 films deposit HfO2 [10]. The observed microstructure indicated that the
on Si due to the extremely slow growth rate. It is reported that the HfO2 layer was polycrystalline, and that monoclinic phase was
dielectric constant is around 19–20 and leakage current of dominant. The electrical characteristics and surface morphology of
106 A/cm2 at an EOT of 1.3 nm and a bias voltage of 1.5 V HfO2 films were affected by O2/Ar gas flow ratio. As the O2/Ar gas
[101,102]. ratio increased, the density of interface states and negative fixed
charges decreased. It was also observed that the surface
2.4.3. Chemical vapor deposition method morphology was improved as O2/Ar ratio increased. This method
Metalorganic molecular beam epitaxy (MOMBE) is another yielded HfO2 films with a dielectric constant of 14–22 and a lower
effective method for obtaining an abrupt interface with controlled leakage current density of 108 A/cm2 at an EOT of 1.85 nm and a
J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136 105

bias voltage of 1.5 V. In addition, it has been shown that the HfO2 the second precursor during a second pulse, again to saturation.
layer has a fixed oxide charge of about 8 1011 cm2 and an Cycles are repeated to build a solid film, layer by layer, in a slow
interfacial state charge density of about 1012 eV1 cm2 [10,103]. and controlled fashion.
Metal–Organic Chemical Vapor Deposition (MOCVD) is an Advantage of ALD: ALD is a leading process for depositing ultra
attractive technique for the deposition of HfO2, offering the thin, conformal films, including oxides, nitrides, and metals, and
potential for growth over a large area, good composition control, has emerged as a logical choice for fabricating high quality
film uniformity, and excellent conformal step coverage on hafnium based high-k thin films. Despite its lower throughput and
nonplanar device geometries [104]. A successful MOCVD process high cost compared to CVD, even DRAM manufacturers are using
is dependent on the availability of various precursors; such as ALD to produce high-k thin films because of the steadiness of
tetrakis-diethylamidohafnium (TDEAH)) [105], modified amide growth, possible control of thickness and composition down to an
precursor, Hf(NEt2)2(guanid)2 [106,107] along with an oxidant if atomic level is possible while maintaining control of high dielectric
needed [108]. The deposition parameters, and subsequently film constant. Moreover, logic and memory producers alike find that
quality, are dependent upon the precursor chosen. During an ALD provides a level of control on interfaces, doping, and step
MOCVD process, oxide deposition was performed over a wide coverage that is imperative for new-generation applications [117].
range of substrate temperatures, from 350 to 550 8C at intermedi- From the standpoint of synthesizing high-k material, ALD is the
ate pressures so that chemical reactions occur both in the gas- most suitable technique due to the fact that it satisfies all these
phase and on, or close to, the substrate. Oxide growth rates at requirements better than other physical and chemical based
350 8C were insignificant, but the oxide growth rate increases from deposition processes, as illustrated in Table 2 [118].
350 to 500 8C, which corresponds to the region of kinetic control in Usage of ALD system in industry: Integration of high-k gate
which film growth is dominated by thermal decomposition of the dielectrics in sub-45 nm CMOS devices requires a gate electrode
precursor on the substrate and in the boundary layer close to the material to be changed from polysilicon to metal. Polysilicon
substrate. Oxide growth rate reached a maximum between 500 electrodes are unsuitable since they can react and diffuse into
and 550 8C, which corresponds to the region of diffusion-controlled high-k gate dielectrics, leading to the formation of a silicide that
growth from a fully decomposed precursor [104,109]. reduces the overall k value of the gate stack. In addition, the
Two groups of precursors are commonly used in MOCVD, metal relatively low concentration of electrons in polysilicon leads to
halides (HfCl4 and HfI4) and alkoxide precursors (Hf(OtBu)4). The rapid depletion and causes a significant increase of gate EOT [119].
halides are more stable thus requires a higher deposition In addition, ALD has been shown to be effective in synthesizing
temperature, and the alkoxides have high vapor pressures but gate dielectrics, gate electrodes, metal interconnectors, and
much shorter shelf lives. Recently, some efforts have been made to diffusion barriers in the semiconductor industry. The gate
improve the stability of the alkoxide precursors. In order to electrodes of Intel’s processors were made using ALD processes
alleviate the problem of thermal decomposition associated with [9,120]. Replacement of semiconducting polysilicon gate electro-
low volatility precursors, liquid injection MOCVD was contrived, des with metals is essential to eliminate the depletion layer,
using [Hf(2-(4,4-dimethyloxazolinyl)-propanolate)] [110] and a optimize the work function, and prevent reaction with high-k
range of ansa-metallocene precursors [109,111], [Hf(NMe2)4] and materials. Potential gate electrodes include WN, Ru, Pt, RuO, TaN,
[Hf(ONEt2)4] [112]. In order to reduce unwanted gas-phase TiN, HfN and other metal/metal nitrides [121]. The scaling and
reactions, HfO2 film was also fabricated by low-pressure CVD increasing 3D nature of integrated circuits require thinner, more
[113,114] using very pure tetrakis-diethylamido-hafnium precise and conformal interconnect metals such as Cu, WN, W, and
[Hf(N(C2H5)2)4]. Plasma-enhanced MOCVD prepared ultrathin Ru. The 100% conformality of ALD allows further scaling of these
HfO2 gate dielectric films with the aid of plasma to enhance integrated circuits. In addition, low resistivity of the metal films
chemical reaction rates [115]. Even though MOCVD has great can be obtained due to the high density and the absence of key
potential as a deposition method, a major problem in MOCVD holes in the deposited films. The integration of copper metals into
processes is impurities such as carbon. To reduce impurity the integrated circuit architectures requires ultrathin diffusion
concentrations, more reactive oxidant such as oxygen plasma, barriers between the silicon, SiO2 and the metal interconnects and
H2O and/or O3 were needed [116]. metal vias. Since most of these structures are inside narrow
HfO2 films deposited by MOCVD using alkoxide compounds trenches and deep vias, conformality of deposition is essential. ALD
were amorphous below 500 8C and monoclinic in the temperature is excellent for this application and specific metals and metal
range 500–750 8C [106,108]. Bandgap of HfO2 thin films fabricated nitrides with good adhesion, thickness control have been devel-
by MOCVD method was observed to be 5.8 eV and dielectric oped at low temperatures. Capacitor technology is transitioning
constants of these films were estimated to be in the range of 23–25. from the early days of planar PIS (poly/insulator/silicon) capacitors
The lowest leakage current was 106 A/cm2 at an EOT of 1.4 nm to the MIM (metal/insulator/metal) architecture. The use of ALD is
and a bias voltage of 1.0 V [115,116]. identified as an enabling technology for both high-k dielectrics and
capacitor electrodes [122]. Besides the industrial silicon platforms,
2.4.4. Atomic layer deposition method ALD has also been proven essential to create gate dielectrics on
In an ideal ALD process, precursor pulses are separated by inert device substrates without native oxides, such as high mobility
gas purges to eliminate gas-phase reactions and remove volatile GaAs/AlGaAs heterostructures, organic transistors, and nanotubes
byproducts. In this deposition technique, the first reactant is [123].
chemisorbed on a substrate during the first pulse until the surface Therefore, major semiconductor companies commercially used
is saturated and no further reaction occurs. This layer reacts with an ALD method after Intel announced the high-k dielectric with

Table 2
Film properties resulting from major deposition techniques: *: poor, **: good, ***: excellent.

Methods Thickness control Step coverage Composition control Multi-component Surface morphology Impurity concent. Throughput

PVD *** * ** *** ** *** ***


CVD ** ** ** * ** * ***
ALD *** *** *** *** *** *** *
106 J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136

metal gate technology on their 45-nm node generation using ALD Table 3
A list of common precursors used in ALD of metal oxide and nitrides [125–131].
in 2007 [9,118]. ALD manufactures have developed viable systems
to compete with more mature and existing deposition techniques Precursor type Formula Examples
such as evaporation, sputtering and chemical vapor deposition, Metal alkyls MRy Al(CH3)3
taking advantage of the unique 100% conformality in and around Metal alkoxides M(OR)y Hf[OC(CH3)3]4
3D objects. Additional benefits of ALD are the ease of large batch Hf(Ot-C4H9)4
manufacturing while maintaining coating uniformity, reproduc- Metal alkylamides M(NR2)y Hf[N(C2H5)2]4
Hf[N(CH3)(C2H5)]4
ibility, strong adhesion and low stress. ALD has become a part of
mainstream production for gate dielectrics, metals and nitrides for Metal b-diketonates M(thd)y Hf(O2C5H7)4
electrodes, interconnects and diffusion barriers in logic devices and M(acac)y
M(thd)y(OR)z
high-k capacitor dielectrics and ferroelectrics in memory devices
[121,124]. Metal halides MXy HfCl4
Precursor chemistry: Major precursors fall into four general WF6
TiI4
classes namely, metal b-diketonates, metal alkoxides, metal
alkylamides and metal alkyls, although a number of precursors Metal cyclopentadienyl M(CpRx)y Hf(Cp)2(CH3)2, Ru(EtCp)2
M(CpRx)yRz (CpMe)2HfMe2, Cp2Hf(OMe)2,
containing more than one type of ligand (e.g. metal alkoxy/b-
(CpMe)2Hf(OMe)Me,
diketonates) have also been used [104]. The typical precursors (CpMe)2Hf(OMe)2
used in ALD are listed in Table 3.
Metal amidinates M(R-AMD)y La(iPr-AMD)3, La(iPr-fAMD)3,
Theoretical approach of reaction mechanism in ALD: With the
Ti(iPr-MeAMD)3, Ni(iPr-MeAMD)2
great promise of ALD in synthesizing HfO2, this process has been
extensively studied by first principles and DFT [132–134]. The first Metal nitrates M(NO3)y Hf[NO]4
theoretical investigation of the structural and electronic properties
of HfO2 surfaces reported that the (1̄ 1 1) and (1 1 1) faces of the
monoclinic HfO2 have the lowest surface energies and thus were increasing temperature also increased the dissociation free-energy
the most stable faces, which was in agreement with the barrier, which in turn resulted in increased desorption of adsorbed
appearance of these surfaces in ALD grown HfO2 films [134]. precursors. Using an alkoxide precursor, tetraethoxyl hafnium
Simulations of monoclinic phase surfaces indicated a large [Hf(OEt)4], and water as another example, DFT predicted that the
relaxation reducing the total surface energy of all nine considered ALD half-reactions involve the formation of stable adsorbed
faces by 23–36%, with a strong correlation between the unrelaxed complexes and precursor-mediated ligand exchange mechanisms.
and relaxed surface energies. In addition, first-principles investi- When Hf(OEt)4 is used as the metal precursor and water is used as
gation was performed on hydroxylated m-HfO2 surface [132]. A the oxygen source, both the metal and oxygen ligand-exchange
comparative investigation of surface hydroxylation states of reactions were unactivated with respect to the reactants. However,
different surfaces of m-HfO2 was central to a better understanding the reactions have moderate barriers relative to the adsorbed
of how precursors react on these surfaces under ALD growth complexes, and the removal of the ethanol byproducts required
conditions, since it is widely believed that surface hydroxyl groups substantial desorption energies. However, when HfCl4 was used as
play an important role as the reactive species that remain on the the metal precursor and Hf(OEt)4 was used as the oxygen source,
surface of the growing film after the water exposure. The combined both the metal and oxygen half-reactions possessed high activa-
approach of DFT and thermodynamics allowed a direct examina- tion barriers (30–40 kcal/mol). The results implied that although
tion of the effect of the acidic and basic groups on different surfaces Hf(OEt)4 may be a suitable metal precursor it had a relatively slow
of m-HfO2, with detailed reaction mechanisms from first principles kinetics when used as an ALD oxygen source [135].
shown in Fig. 9(left) [133]. Stoichiometric HfO2 films with fairly low impurity concentra-
Using HfCl4 precursor and water as a model system, the tions of C, H, and N (below 0.1 at.%) are usually achieved with ALD
mechanism consisted of two deposition half reaction: (1) HfCl4 processes with the precursors listed in Table 3. In order to improve
with Hf–OH sites (Fig. 9(right)), and (2) H2O with Hf–Cl sites. Both the quality of synthesized films, plasma enhanced atomic layer
half-reactions exhibited stable intermediates with energies lower deposition (PEALD) process was applied to deposit HfO2 using
than those of the final products. It was noted that increasing the hafnium t-butoxides or Hf(OH)3NH2 and an oxygen plasma [136].
temperature reduced the stability of the complex. However, The PEALD process usually takes place at much lower temperatures

Fig. 9. (left) Cluster models used in the calculation of (a) Hf–[O–Hf(OH)3]3–OH, (b) Hf–[O–Hf(OH)3]3–Cl and (c) Hf8O22H13–Cl as either and both Hf–OH* and Hf–Cl* sites. The
black, dark gray, light gray and white atoms denote hafnium, oxygen, chlorine, and hydrogen atoms, respectively. Reprinted from [133]. (right) Potential energy surface (DH0)
and free energy surface at 298 K (DG298) and 600 K (DG600) for Hf–[O–Hf(OH)3]3–OH + HfCl4. Reprinted from [135].
J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136 107

Fig. 10. A schematic diagram of cross-section of a MOSFET, highlighting the important aspects of the gate stack design and highlighting the challenges in processing.

and resulted in films with a high concentration of bridging oxygen ‘‘effective number of electrons’ [139], relating to a change in
bonds with metals (M–O–M) as the film thickness increased, in polarizability (a). Extending this procedure to other metal oxides, a
contrast to the high concentrations of M–O–H in the films deposited discontinuity was observed in the slope with La, Hf, Ta, and their
by PECVD [136]. HfO2 thin films deposited by (PE)ALD crystallized neighboring elements in period six. The changes in crystallinity of
into the monoclinic phase after annealing at above 800 8C. The some elements increase the k-value in Ta2O5 and Pr2O3. The two
dielectric constant of these films was estimated to be in the range of values for Nb2O5 have similar origin and gives rise to a
20–25 [126,127]. The lowest leakage current density was 109 A/ considerable change in k by adding a second metal. It was found
cm2 at an EOT of 0.5 nm and a bias voltage of 1.5 V [59]. that a relation between the conduction band offset values and the
ionicity, i.e., the difference (XM  XO) between the metal and
2.5. Approach to design high-k materials oxygen electro-negativities of the oxide, could be expected.
Following an idea by Pauling [34], the formation enthalpies of
Finding an alternative gate material with high dielectric the oxide is proportional to (XM  XO)2, an apparent linear relation
constants for future CMOS generations continues to be one of the was found as shown in Fig. 11(b). The dashed line in Fig. 11(b) has
most challenging problems in the continuous development of nano- the equation of DEc = (XM  XO)2  5.37 (eV) and was used to
electronics [137]. Even though there are many materials with high-k predict offset values as a function of k, shown in comparison with
values, any alternative gate dielectric materials must satisfy several the experimental data in Fig. 11(c). It seems that the points
requirements to be practically used in device processing. This predicted from the above equation followed the main trend of
section provides the critical requirements of high-k materials for decreasing DEc at increasing k.
MOSFET devices, as well as the difficulties involved with potential
implementation of these materials. By presenting experimental data 2.5.2. Ensuring a thermodynamically stable interface
and theoretical estimates using HfO2/Si as a model system, empirical To ascertain the thermodynamic stability at an oxide/semicon-
relations between these desired properties and the inherent ductor interface, the analysis should begin with the phase diagram.
material properties/process steps are established. This section Using HfO2 as an example, investigations have been conducted to
outlines key factors to be assessed in designing improved MOSFET clarify the phase diagram of the Hf–O system [140], Si–O system
systems with high-k materials (Fig. 10). [141] and Hf–Si system [142] as shown in Fig. 12. The Hf–O system
has been modeled with existing experimental data and first-
2.5.1. Ensuring an adequate band offset principles calculation results. This validation, when combined with
In selecting a metal oxide, it is imperative that the material has the thermodynamic parameters of the Hf–Si and Si–O binary
adequate band offsets with the interfacing semiconductor. The systems, led to the phase diagram of the Hf–Si–O ternary system,
relationship between k and the average atomic number hZi for the to help guide the materials selection.
rare earth oxides from Lu to Ce has been investigated by Engstrom For example, the enthalpy of formation of HfSiO4 was obtained
et al. [138]. In the rare earth metal series, from Ce to Lu, the from first-principles calculation and the entropy of formation was
number of electrons in the f-shell increases from 1 to 14, resulting evaluated from its peritectic reaction, liquid + HfO2 (monocli-
in a complete shell. This change is related to an increase in nic) ! HfSiO4, at 2023 K as reported by Parfenen et al. [143]. From

Fig. 11. (a) Band off-sets values of HfO2, in comparison with other metal oxides as a function of k-value. (b) Values for conduction band offset to silicon as a function of the
square of the oxide ionicity. (c) The dielectric constant for different metal oxides as a function of the average atomic number hZi of the metal oxide molecules.Reprinted from
[138].
108 J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136

Fig. 12. (a) Proposed phase diagram of the Hf–O system from Massalski [140] (b) Calculated Si–O phase diagram from Hallstedt [141] (c) Calculated Hf–Si phase diagram from
Zhao et al. [142].

the pseudo-binary phase diagram of HfO2–SiO2 and the calculated correlated with the size of the dopant atom [146]. The Helmholtz
isothermal sections of the Hf–Si–O system at two temperatures, as free energy F is simply given as below [147,148]
shown in Fig. 13, the isothermal sections was calculated to study the X X 2 sinh½ 
h$ðq; jÞ
stability of HfO2/Si interface by Shin et al. [144]. The reference states F ¼ E þ F phonon ; where F phonon ¼ kB T ln
2kB T
of the enthalpy of formation for HfSiO4 are defined as the two binary q j
HfSiO4  
metal oxides: DHf ¼ HðHfSiO4 Þ  12HðHfO2 Þ  12HðSiO2 Þ. The h XX
 hw ðq; jÞ

two three-phase regions, HfSiO4 + HfO2 + Hf2Si and HfSiO4 + dia- ¼ Eo  TS with E0 ¼ T $ðq; jÞ coth
2 q j
2kB T
mond + Hf2Si, in 500 K isothermal section should be noticed with
respect to the stability of HfO2/Si interface. Since those regions are where E is the static contribution to internal energy and Fphonon is
intersected by a line connecting HfO2 and Si, HfSi2 can be found in the the temperature dependent phonon contribution to free energy.
fabrication of polySi/HfO2 gate stack MOSFETs on bulk Si at 500 K, Fischer and Kersch [146] investigated the relative difference in
while a 1000 K calculation results clearly show that HfO2 is stable internal energy between the tetragonal and monoclinic phases as
with respect to the Si substrate. The calculation of the HfSiO4 shown in Fig. 14(a). Pure HfO2 of the monoclinic phase is favored
formation energy predicts that HfSi2 is stable up to 543.5 K. by 0.086 eV per HfO2 formula unit. This is in excellent agreement
However, the uncertainty of the formation enthalpy of HfSiO4, which with recent experimental data where an energy difference of
originates from the density functional theory itself, is about 1 kJ/ 0.085 eV was reported. It has been found that for the critical doping
molatom [145]. concentrations, c0, for Si, Ge, Sn, and Ce are 6.5%, 7.5%, 19%, and 21%,
respectively, in assuming that phase separation does not occur at
2.5.3. Determining the crystal stability as a function of dopant these doping levels [149]. The impact of doping on the phase
incorporation and temperature transition temperature in HfO2 is displayed in Fig. 14(b). Here the
One way to increase the dielectric constant while control the difference in the Helmholtz free energy DF between the monoclinic
crystal structure or suppress the recrystallization of a metal oxide is and tetragonal phases at a doping concentration of 12.5% is plotted
to introduce selected dopants. For example, the dielectric constant of as a function of temperature. All dopants except carbon reduce the
the monoclinic phase of HfO2 is not superior to the amorphous phase transition temperature T0. For Sn, Ti, and Ce doping, T0 is
phase, but the dielectric constant of the tetragonal phase of HfO2 is between 700 and 1100 K. For Si and Ge doping, the tetragonal
known to be significantly higher. In order to obtain the tetragonal phase is favored at all temperatures. Generally, the absolute value
phase at room temperature it is necessary to introduce cationic of DFphonon can be understood by taking the ionic radius of the
dopants in the HfO2 matrix. The ab initio simulations revealed that dopant into account as shown in Fig. 14(c). The data shows a
the size of the dopant plays a crucial role in the stabilization minimum in DFphonon at DRionic  0.5 Å close to Si and Ge. In
mechanism in agreement with experimental findings and illustrated summary, ab initio simulations were successfully used to provide
that not only the internal energy but also the phonon contribution is insight into the effect of dopants in stabilizing the tetragonal phase

Fig. 13. (a) Calculated HfO2–SiO2 pseudo-binary phase diagram. Calculated isothermal section of Hf–Si–O at (b) 500 K and (c) 1000 K at 1 atm. Tie lines are drawn inside the
two phase regions.Reprinted from [144].
J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136 109

Fig. 14. (a) Difference in internal energy per HfO2 unit between the monoclinic and tetragonal phases as function of dopant type and doping concentration. (b) Difference in
Helmholtz free energy DF between the monoclinic and tetragonal phases for undoped and doped HfO2. Positive energy differences indicate a higher stability for the tetragonal
phase in (a) and (b). (c) Difference in the phonon contribution to the Helmholtz free energy DFphonon as a function of DRionic, which is the difference between the ionic radius of
the host atom Hf and the dopant.Reprinted from [146].

in HfO2, and the same principle can be extended to other materials systematic shift of the average on-site potential in the HfO2 region
systems. in both Hf and O sublattices. As seen in Fig. 15(c) and (d), an
average potential drop is proportional to the thickness of HfO2 film
2.5.4. Alternate interface design (MOx/SiO2/Si) and is approximately 1 eV.
Ultimately, high-k materials require an atomically defined Scopel et al. [155] presented ab initio calculations, based on
interface with silicon without an interfacial layer but ideal density functional theory, for substitutional and vacancy defects in
interface structure is questionable because silicon atoms should the monoclinic hafnium oxide (m-HfO2) and a-quartz (SiO2). The
have coordinations rarely found elsewhere in nature. Many studies neutral oxygen vacancies and substitutional Si and Hf defects in
showed that atomic control of the interfacial structure by altering HfO2 and SiO2, respectively, are investigated. Calculations pre-
the chemical environment can dramatically improve the electronic sented that, for a large range of Hf chemical potential, Si
properties of the interface to meet technological requirements. The substitutional defects were most likely to form in HfO2, leading
interface structure and its chemistry studies may provide guidance to the formation of a silicate layer at the HfO2/Si interface. It was
for selecting process with other high-k dielectric materials [150]. found that it was energetically more favorable to form oxygen
Atomistic modeling has proven to be instrumental in understand- vacancies in SiO2 than in HfO2, which implies that oxygen-deficient
ing complex ‘process–structure–properties’ relationships, both in HfO2 grown on top of SiO2 consumes oxygen from the SiO2 layer. As
oxide/silicon and oxide/metal interfaces [151–153]. Garvartin and shown in Fig. 16, the formation energies are plotted as a function
Shluger [154] reported ab initio calculations of a realistic HfO2/ of the Hf chemical potential, and for two values of the Si chemical
SiO2/Si interface to expect structural and electronic properties. potential, the bulk Si chemical potential (solid curves) and the
Calculations reveal a variety of possible non-epitaxial atomic chemical potential for Si in the SiO2 under an oxygen-rich
arrangements at the interface, associated with a substantial atomic environment (dashed curves). The formation energy for a SiHf
disorder in the SiO2 and HfO2 region. Calculated band alignment and HfSi is a function of chemical potential as shown in Fig. 16.
allows for instructive analysis of bandgap variation, dipole
formation and defects near the interface. Structural disorder can 2.5.5. Minimizing the gate leakage currents
be quantified by the coordination statistics analysis. For example, Since a key motivation for replacing SiO2 with high-k materials
in the HfO2 region the 3-fold coordinated (3C) oxygen ions is leakage reduction, accurate direct tunneling modeling is critical
dominate over 4-fold coordinated, while Hf ions are 6, 7 and 8- and necessary to understand the scaling limits and ensure that the
coordinated in equal concentrations as shown in Fig. 15(a) and (b). selected materials are highly scalable and usable for many future
Atomic disorder near the interface is also manifested in the generations of technology. Wu et al. [156] reported that modified
fluctuations of the on-site electrostatic potential (Fig. 15(c) and semi-empirical equations based on the Wentzel–Kramers–Bril-
(d)). Characteristically, the fluctuations of the potentials on oxygen louin (WKB) approximation, and quantum mechanical simulations
ions are strongest at the HfO2/SiO2 region. The HfO2/SiO2 interface based on three-subband models are used to study the gate. The
is characterized by a significant dipole which is manifested by a direct tunneling gate current density in CMOS transistor can be

Fig. 15. (a) Atomistic model of the SiO2/Si interface. Si–large, O–small dark, H–small light. (b) Resulting atomistic model of the HfO2/SiO2/Si interface. The on-site electrostatic
potential of (c) oxygen and (d) Hf ions as a function of their vertical position in the interface.Reprinted from [154].
110 J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136

Fig. 16. Formation energies of the two substitutional defects considered in (a) Si in place of a Hf in HfO2 and (b) Hf in place of a Si in SiO2.Reprinted from [155].

accurately modeled by a semi-empirical equation based on the scaling limit of a new gate dielectric material in order to satisfy the
WKB approximation: specifications of a particular technology generation.
q2
J G;i ¼  CðV G ; V; t phys ; Fb;i Þ 2.5.6. Assessing the factors affecting channel mobility
8pheFb;i
8 qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi " 9 The carrier mobility is approximated by the sum of three terms as
< 8p 2me f f ;i ðqFb;i Þ3=2   #
jV j 3=2 = each obeys a different power law with respect to the field strength
 exp  1 1 (Fig. 18(a)). At low fields, mobility is limited by Coulombic scattering
: 3hqjEj Fb;i ;
(or Remote Charge scattering, RCS) due to trapped charges in the
The index i indicates the dominant tunneling mechanism, such as oxide and/or channel and/or the gate electrode interface; at
electron tunneling from conduction band (ECB), and hole tunneling moderate fields it is limited by phonon scattering (Ph), and at high
from valence band (HVB). The empirical correction factor C is fields by scattering by surface roughness (Sr) [157].
expressed as Saito et al. [158] investigated both experimentally and
    theoretically, the mobility reduction in FETs limited by remote
VG 20 jV j  Fb;i jV j charge scattering. The accuracy of the mobility calculations was
CðV G ; V; t phys ;Fb;i Þ ¼  N  exp þ1  1 
t phys Fb;i F0i Fb;i confirmed by agreement with experiments, in which mobility is
reduced due to scattering from the depletion charges in the
Fig. 17(a) shows the gate current density versus gate voltage for
polycrystalline silicon gate. The remote charge scattering is
various gate dielectric materials with an EOT of 1 nm. In general,
defined as and is related to:
HfO2, Al2O3 and La2O3 high-k gate dielectrics demonstrate significant
gate leakage reduction compared to SiO2 gate dielectric. The barrier Z 2p Z
et
RCS 1 2pm

2
height and tunneling effective mass also strongly affect the direct mRCS ¼ where ¼ du dz0 NRCS ðZ o Þ Aq ðZ o Þ
m
t
RCS h

3
0
tunneling characteristics, and they should be considered together
with k in determining the value of a gate dielectric. Gate current ð1  cos u Þ
density at a surface potential of 1.2 V was plotted as a function of the
where NRCS(Z0) is the distribution of the fixed charge density
equivalent oxide thickness tox,eq for five different gate dielectrics as
responsible for RCS, and hAq(Z0)i is the average scattering potential.
shown in Fig. 17(b). It indicated that the leakage reduction factor
Considering the mobility of FETs with gate dielectrics, interfacial
resulting in the replacement of SiO2 with high-k gate dielectrics
layer between the high-k layer and substrate should be taken into
should become smaller as tox,eq scales down. Since the barrier height
account. The calculation of the potential for RCS from charges is
generally decreases with increasing dielectric constant [1], it is not
divided into two conditions as below:
reasonable to pursue extremely high-k materials because an increase
in the physical thickness is compensated by a decrease in barrier
ð1  b1 ÞZ e g 2 eqZO þ g 3 eqZO
height. The scaling limit of a gate dielectric, tox,eq,limit was defined as: Aq ðZ o Þ ¼ Po ðt SiO2 < Z o < 0Þ
4peSiO2 q þ qs ðqÞ ðP av þ g 1 Po2 Þ
tox,eq,limit(VDD; JG,limit) = tox,eq(JG = JG,limit). The scaling limit tox,eq,limit q

ð1  b1 Þð1  b2 ÞZ e g 4 e ZO þ g 5 eqZO
was plotted as a function of gate current density limits JG,limit for Aq ðZ o Þ ¼ P o e2qtSiO2
4pehigh-k q þ qs ðqÞ ðP av þ g 1 Po2 Þ
various gate dielectrics as shown in Fig. 17(c). Therefore, the
tox,eq,limit versus ln(1/JG,limit) plot can be employed to obtain the ðt phys < Z o <  t SiO2 Þ

Fig. 17. (a) Gate current density JG versus gate voltage VGB for various gate dielectric materials at an EOT of 1 nm. (b) Gate current density JG at a surface potential of 1.2 V as a
function of the EOT (tox,eq) for five different gate dielectrics. (c) Scaling limit tox,eq,limit as a function of gate current density limits JG,limit at a surface potential of 1.3 V for various
gate dielectrics.Reprinted from [156].
J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136 111

Fig. 18. (a) A schematic illustration showing the carrier mobility as a function of vertical electric field in MOSFETs in the universal mobility model, and their temperature
dependences. Reprinted from [157]. (b) A schematic diagram highlighting the origin of mobility degradation in MOSFETs.

Fig. 19. (a) Agreement between calculated and measured effective mobility for MOSFETs with ultrathin gate oxides. (b) Calculated remote-charge-scattering limited mobility
(RCS) from depletion charges with density Nd in poly-Si gate, and (c) the recovery of remote-charge-scattering-limited mobility due to the introduction of ideal high-k gate
dielectrics.Reprinted from [158].

The mobility was especially reduced at low fields, and the the rate of change of the reciprocal with respective to temperature
effects of RCS from depletion charges were not negligible for (T) or dT, of the high-k/poly-Si stack to that of the SiO2/poly-Si
tox < 2.0 nm as shown in Fig. 19(a). The peak mobility reduction stack. To quantify the degradation of mobility due to surface
was approximately 20%. In order to avoid mobility reduction, Nd phonon scattering alone, full inverse modeling was performed
should be reduced since it corresponds to the scattering sources based on the mobility measurement data. Fig. 20(b) and (c) shows
near the poly-Si/SiO2 interface. As shown in Fig. 19(b), mRCS the measured electron channel mobilities for HfO2/poly-Si and
increases as Nd is reduced. An alternative way is to introduce high- HfO2/TiN gate stacks as a function of temperature (0–125 8C).
k gate dielectrics. This increases the physical thickness of the gate Because of their similar dependence on temperature and Eeff,
insulator while maintaining a small EOT as shown in Fig. 19(c). remote surface optical phonons and surface acoustic phonons
It has been found experimentally that surface phonon were treated synonymously as surface phonons.
scattering in the high-k dielectric is the primary cause of channel
electron mobility degradation by Chau et al. [120]. The effective 2.5.7. Evaluating the work function for nMOSFETs and pMOSFETs
electron mobilities of the HfO/poly-Si and SiO/poly-Si stacks are The work function requirements for nMOSFETs and pMOSFETs
measured as a function of temperature and transverse electric dictate the selection of viable metal gate materials. The work
field. Fig. 20(a) compares the temperature sensitivity factor, i.e., function of a gate material for nMOSFETs must be near the

Fig. 20. (a) Experimental evidence of phonon scattering in the high-k dielectric. The net value of the temperature (T) sensitivity factor [d(1 = meff)/dT], is negative when
Coulombic scattering dominates and positive when phonon scattering dominates. Experimental (symbols) and fitted data based on physical models (dashed line) as a
function of temperature and the effective electric field for (b) HfO2/poly-Si and (c) HfO2/TiN gate stacks.Reprinted from [120].
112 J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136

Fig. 21. (a) Work functions of various metals shown relative to the conduction and valence band edges of silicon. Reprinted from [160]. (b) A partial periodic table showing the
elements for potential gate electrode application, such as Hf, Si, N.

conduction band of silicon, at 4.1–4.3 eV. The work function of a MOn was investigated and then the modified vacancy formation
gate material for pMOSFETs must be near the valence band of energy was calculated as shown in Fig. 22(b). The experimental
silicon, at 5.0–5.2 eV [159]. Mid gap work function metals have free energy of oxides per O against the work function of the pure
been examined to be implemented for both nMOSFETs and metal was plotted as shown in Fig. 22(c) [155,163,164]. Noble
pMOSFETs, but exhibit large threshold voltages [160]. Conse- metals with larger work function have smaller oxide free energies.
quently, it may be necessary to introduce two different gate metals Thus, the two terms in DG2 oppose each other. Oxides of Si and Al
in CMOS transistors in order to achieve a threshold voltage, Vth, are more stable than expected from their electro-negativity alone.
which is sufficiently low for both the n-channel and p-channel In summary, this section presented a systematic approach in
MOSFETs. Fig. 21 shows the work functions of metal gate assessing the applicability of alternative gate dielectrics. First,
candidates relative to the conduction and valence bands of silicon. materials must have high dielectric constant and are thermody-
namically stable on substrates. Additionally, secondary phase
2.5.8. Determining the Fermi level pinning with target metal gates materials induced from reaction with substrates should be
Various gate metals were chosen so that their Fermi energies investigated. Finally, leakage current and carrier mobility should
should align with the conduction or valence band edges of Si, for n- be evaluated for MOSFET application. As an example, LaAlO3 and
MOSFETs and p-MOSFETs, respectively. However, it has been SrTiO3 were evaluated for their potential as high-k gate materials,
proven difficult to find suitable metals to achieve this while as shown in Table 4. Both materials have high enough dielectric
withstanding high temperature annealing to 1000 8C. The gate constants, but only LaAlO3 has large enough band offsets to inhibit
Fermi level, EF, tends to shift toward energies independent of the conduction by the Schottky emission into the oxide bands and is
metal, near the mid-gap of Si, a problem referred to as ‘‘Fermi level considered viable. While candidate materials may meet electrical
pinning.’’ Various mechanisms for the Fermi level pinning of p-gate requirements, they must also meet thermal stability criteria on
metals on HfO2 have been studied. substrates of interest. This can be explored using phase diagrams,
Robertson et al. has shown that for Fermi energies below the Si XRD and TEM. The LaO–AlO–SiO2 system is very stable at 1300 8C
valence band, HfO2 can oxidize Si by creating positively charged O and meets processing conditions. Very low interdiffusion and
vacancies [161]. The band bending due to this vacancy concentra- secondary phase formation at interface were verified by XRD and
tion can account for the observed Fermi level pinning of p metals TEM as shown in Table 4 (Step II). Electrical characterization of
on HfO2. The formation energy of the O vacancy, DG, was plotted LaAlO3 gate materials showed better leakage current but lower
against the Fermi energy, EF, in the HfO2 as shown in Fig. 22(a), channel mobility compared to HfO2. This general approach will be
where DG is expressed as below, DG1 = G0(q)  qEF. The calculated extended to assess Hf-based complex materials on other semicon-
formation energy of the neutral vacancy, V0, is 6.38 eV with respect ducting substrates, such as Ge, GaN, InP, GaAs and SiC in Section 5.
to the O2 molecule [155]. Demkov et al. [162] suggested that a
mechanism is to transfer the oxygen atom liberated from the 3. Hafnium based complex materials as gate dielectrics
vacancy into the metal electrode M to form a unit of oxide MOn. The
overall reaction is OO + (1/n)M = VO2+ + (1/n)MOn + 2e. The energy While hafnium oxide is the most promising candidate for gate
of this reaction is DG1 plus the free energy of MOn per O atom, dielectric application, it does not have better interface with Si
DG2 = DG1 + G[(1/n)MOn)]. The experimental free energy of bulk compared to SiO2. The chemical potential difference across the

Fig. 22. (a) O vacancy formation energy as a function of Fermi energy in HfO2. (b) The energy of reaction and (c) Experimental free energy of bulk metal oxide MOn per O as a
function of the work function.Reprinted from [161].
J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136 113

Table 4
A proposed procedure for evaluating the potential high-k materials, HfO2 (k = 22–25), SrTiO3 (k = 200), and LaAlO3 (k = 18) in MOSFET.
(Step I) Band offsets on various substrate. Redrawn using data from [165]

(Step II) Thermodynamic expectation from phase diagram, thermal stability using XRD after thermal treatment, and verification of interfacial phase using TEM.
Reprinted from [166,167].

(Step III) Electrical characterization such as channel mobility, leakage current [168–170] and the comparisons of specific device performance to other candidates
(e.g. gate injection current (Ig)/the drain current (Id): programming efficiency in read only memory devices) [171]

interface drives chemical reactions, and the lack of an oxygen as threshold voltage instability due to charge trapping [172]. One
diffusion barrier typically results in the formation of an interfacial strategy to address this issue is to form an alloy of HfO2 with a good
SiO2-rich layer at the interface with the Si substrate and the glass former (SiO2 or Al2O3) to achieve an amorphous structure
polysilicon gate. This interfacial layer degrades the attainable that can be stabilized at high temperatures. While this approach
capacitance of the gate dielectric layer and often results in an lowers the overall dielectric constant but results in an amorphous
increased interface state density. To alleviate these concerns, microstructure with enhanced phase stability and lower trap
hafnium based complex materials have been explored, in hope densities as compared to the simple metal oxide counterparts.
that they possess the desired characteristics of each constituent Another strategy is to find an oxide that has a small lattice
simple oxide. For example, hafnium silicate (based on HfO2 and SiO2) mismatch with silicon so it can be grown as a single crystalline
has significant thermo-chemical stability due to the reduced material that will withstand high temperatures and provide a high
chemical potential difference with the inclusion of SiO2. Hafnium quality interface, such as hafnium lanthanate (based on HfO2 and
aluminate (based on HfO2 and Al2O3) is another promising material La2O3). These promising hafnium based multi-component oxides
as Al2O3 is a well-known oxygen diffusion barrier that may protect include Si, Al, and La elements are summarized in Fig. 23, including
the Si surface from oxidation and is thermodynamically stable in corresponding materials and electrical properties. In general, they
contact with Si. have relatively high permittivity, wide bandgap, high thermal and
Another issue with HfO2 is that it crystallizes at relatively low chemical stability, and large band offsets with respect to silicon. In
temperatures, 500–700 8C. thus it undergoes thermally induced addition, by varying the fraction of oxygen or nitrogen during
crystallization at typical PDA temperatures, which can be deposition, metal oxynitrides could also be designed in order to
problematic due to the possibility of increased leakage current improve electrical properties. Finally, the development of other
and dopant diffusion across the dielectric grain boundaries, as well hafnium-containing non-oxides, such as hafnium phosphide,
114 J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136

Fig. 23. A diagram of comparisons of hafnium based complex materials when Si, Al, La and/or N was incorporated into HfO2: k: dielectric constant, fBH: conductive barrier
height to Si (eV), Eg: bandgap (eV), Tcrystal: crystallization temperature (8C), J: leakage current (A/cm2). Specifically, band alignments at following heterojunctions are shown:
(a) t-HfO2/Si, (t-HfO2)5(Hf3N4)/Si (1 0 0), and (t-HfO2)(Hf3N4)/Si (1 0 0), re-plotted from [173], (b) HfxSi1xO2/Si, re-plotted from [75], (c) (HfO2)x(Al2O3)1x/Si, re-plotted from
[174], and (d) La2Hf2O7/Si, re-plotted from [21].

hafnium diboride, and hafnium ruthenium alloy, is also discussed reasonable conduction band offset with respect to Si (1.4 eV).
to assess the feasibility of using these materials to achieve better Although the blend with SiO2 is expected to reduce the effective
interface with Hf-based oxides, through homonuclear bonds dielectric constant due to its relatively low dielectric constant
between Hf in the oxides and non-oxides. (k = 3.9), it raises the temperature needed to convert from an
amorphous to a polycrystalline film (remains amorphous up to
3.1. Hafnium silicate 1200 8C). In fact, films of pseudobinary alloys such as HfSixOy have
major advantages that include a large bandgap (because of Eg for
Hafnium silicate (HfSixOy) has a high dielectric constant (21–25), SiO2 is 9 eV), low interface trap density, and high thermal stability
good thermodynamic stability on Si, a large bandgap (5.7 eV), and in direct contact with Si. Therefore, Hf-silicate has attracted much
J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136 115

Fig. 24. (a) XRD of Hf-silicate films before and after thermal treatments at various temperatures. Reprinted from [175]. (b) Capacitance–voltage characteristic and (c) leakage
current density of MOS capacitors fabricated with PEALD Hf-silicate films, as a function of HfO2/SiO2 deposition cycle ratio, x:y. Reprinted from [172].

attention due to the possibility of combining the large bandgap of The degree to which the amount of aluminum incorporation
SiO2 and the high dielectric constant of HfO2 into a material to can affect the characteristics of HfO2 was evaluated to show that
achieve a relatively high dielectric constant and bandgap [172]. the bandgap of a (HfO2)x(Al2O3)1x composite on Si (1 0 0) can be
Hafnium silicate films have been synthesized by MOCVD using estimated as [174]: EgðHfO2 Þx ðAl2 O3 Þ1x ¼ 6:52  1:27x ðeVÞ and the
various precursors, including hafnium tetra-tert-butoxide (HTB) valence band offset can be estimated as: VBOðHfO2 Þx ðAl2 O3 Þ1x ¼
and tetrakis-ethylmethylamino silane (TEMAS) [175] and dual 3:03  0:81x ðeVÞ: The crystallization temperature and resistance
precursors such as tetrakis(dimethylamino-)hafnium and tris(di- to oxygen diffusion were found to increase with increasing
methylamino)silicon [11] as the Hf and Si source along with O2. aluminum oxide incorporation [174,184]. The challenge is to
The benefit of using a dialkylamide hafnium precursor is the determine the optimum aluminum content that sufficiently
existence of chemically compatible silicon dialkylamide precur- increases both the crystallization temperature and resistance to
sors for the growth of HfSixOy [11]. As shown in Fig. 24(a), HfSixOy oxygen diffusion without significantly compromising the high
from dialkylamide precursors were amorphous up to 700 8C and permittivity of HfO2.
phase-separated into amorphous phase and tetragonal HfO2 In addition to multi-layer structures, the use of Al2O3 as a
crystalline phase upon annealing at 800 8C [175]. Alternatively, capping layer or a buffer layer has been investigated. The use of a
HfSixOy deposited by PEALD was determined to be amorphous up capping Al2O3 layer has been considered to suppress the growth of
to 900 8C [172]. The dielectric constant of hafnium silicate ranged the interfacial SiO2 layer upon PDA. No increase of the interfacial
from 6.9 to 14 depending on composition ratio and processing SiO2 has been found upon PDA at temperatures up to 900 8C with a
conditions [11,175]. Based on the deposition temperature and 1.2 nm Al2O3 capping layer on a 2.6 nm HfO2/0.35 nm SiO2 film
processing conditions, precise control over k and Hf/(Hf + Si) [185]. The use of an Al2O3 buffer layer has been considered as a
composition ratio can be achieved. The dielectric constants of barrier between the Si substrate and HfO2 and has been found to
hafnium silicate films by PEALD increased from 5 to 17 and prevent boron penetration from the poly-Si gate and suppress the
leakage current at 1.5 V decreased as the hafnium content formation of an interfacial layer up to an annealing temperature of
increased from 9 to 17 at.% in HfSixOy, as shown in Fig. 24(b) and 750 8C [186,187]. For instance, ultrathin high-k HfAlOx films on
(c) [172]. (0 0 1) sapphire prepared by PLD were shown to possess
The bandgap of 3–4 nm thick HfxSi1xO2 films, with 0 x 1, amorphous HfOx clusters if either deposition or annealing was
showed a steady decrease from 8.9 eV at x = 0 to 5.3 eV for x = 1, performed at 600–900 8C as shown in Fig. 26(a). Monoclinic HfO2
signifying a decrease in bandgap as Hf concentration increases. (2 0 1) and (1 1 1) peaks cannot be identified until a deposition or
Similarly, Fig. 23(b), the composition of HfSixOy also is shown to rapid thermal annealing (RTA) temperature reaches 1000 8C. This
have a significant effect on the band alignment, with the valence indicated that the HfOx clusters begin to crystallize at >900 8C to
band offsets measured at x = 0.3, 0.5, 0.7 and 1 being 1.4, 2.3, 2.9 form monoclinic HfO2 crystals [188]. On the other hand, Hf1xAlxO2
and 3.3 eV, respectively [75]. deposited by ALD and annealed at temperatures as high as 900 8C

3.2. Hafnium aluminate

Hafnium aluminate (HfAlxOy), a HfO2-based pseudobinary alloy,


has been shown to have a high dielectric constant and be
thermodynamically stable in contact with Si. It also seems to be
superior to HfO2 as a high-k candidate due to its high crystalliza-
tion temperature, above 900 8C, and good electric performances. So
far, both HfAlxOy and HfAl2O5 amorphous films have been
synthesized by a variety of deposition methods, ranging from
MBE to reactive co-evaporation in an ultrahigh vacuum [176],
chemical solution deposition [177,178] and conventional sol–gel
process [179–181] to ALD [182]. Fig. 25 shows a dielectric map for
HfO2–Y2O3–Al2O3 ternary compositions, illustrating that attaining
an amorphous structure does not come at the expense of a
reduction in the dielectric constant. The figure shows that in the Fig. 25. Dielectric map of HfO2–Y2O3–Al2O3 ternary compositions determined by
hafnium rich HfxAlyOz region, high permittivity can be achieved microwave microscopy, where the higher frequency shift (Df/fo) corresponds to a
[183]. larger dielectric constant.Reprinted from [183].
116 J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136

Fig. 26. (a) XRD of HfAlOx film deposited on (0 0 1) sapphire substrates at 800 8C and rapidly thermally annealed at 900 and 1000 8C for 5 min, respectively. Reprinted from
[188]. (b) The HfO2–Al2O3 phase diagram, with the symbols representing the crystalline phases identified by electron diffraction in the films with different amounts of Al2O3
after annealing at 1100 8C. Reprinted from [190]. (c) The J–V and (d) C–V characteristics at 1 MHz for a 5 nm Hf0.40Al0.60Oz, film and a 4 nm HfO2 film. Reprinted from [182].

in N2 or O2 atmosphere was found to crystallize in an orthorhombic oxygen. An important criterion for the successful growth of
ternary [189]. Hafnium aluminate films synthesized by jet vapor crystalline oxides on silicon is a small lattice mismatch between
deposition (JVD) at room temperature showed the monoclinic the crystalline diamond structure of silicon and the structure of the
phase after annealing at 1100 8C. However, with an increase in the crystalline oxide. The lattice parameter of La2Hf2O7 (LHO) is
Al content in the films, the amount of metastable HfO2 with a approximately two times the lattice parameter of silicon, resulting
tetragonal distorted fluorite structure increased. Films with in a lattice mismatch of about 1% at room temperature and
64 mol% Al2O3 crystallized as tetragonal HfO2 and metastable essentially zero at 800 8C, which makes it an excellent candidate
cubic Al2O3 with the spinel structure, as shown in Fig. 26(b) [190]. for the epitaxial growth on Si [192,193]. Experiments have been
The effect of Al2O3 incorporation in HfO2 on the dielectric/ performed by depositing LHO by MBE or PLD. The films deposited
semiconductor interface was assessed with ALD HfxAlyOz thin films at 610 8C, 700 8C, and 750–770 8C are exhibiting an amorphous,
on a high channel mobility semiconductor, Ge on Si. As the Hf to Al polycrystalline and pyrochlore and random fluorite crystal
cation ratio in HfxAlyOz films was effectively controlled by structures, respectively [194].
changing the ratio of hafnium oxide to aluminum oxide ALD For instance, high-quality LHO with a cube-on-cube pattern on
cycles, the electrical performance improved with alumina incor- Si (0 0 1) was epitaxially deposited by MBE. Specifically, it was
poration, including lower interface state densities and leakage noted that LHO can be grown crystalline on Si (0 0 1) at deposition
current densities. The band alignment with respect to Si is shown temperature ranging between 750 and 780 8C, with the predomi-
in Fig. 23(c) and the filled defect states were as high as 1– nant orientation as (0 0 1)LHO//(0 0 1)Si and (1 1 0)LHO//(1 1 0)Si
3 1017 cm3 eV1 in the energy region of 0.4–0.8 eV from the [194], determined by the cross-sectional HRTEM micrograph as
energy position corresponding to the Si valence band edge [16]. In shown in Fig. 28 [195].
addition, it was found that the 4–15 nm HfxAlyOz film exhibited a The dielectric constant of the crystalline LHO films was
dielectric constant around 16, a low leakage current density of determined to be 23, which is larger than the dielectric constant
5.7 104 A/cm2 at an EOT of 4.3 nm and a bias voltage of 1.5 V, of amorphous LHO, 18–19 [192,194,196]. The addition of La2O3
between those of HfO2 and Al2O3 [182], as shown in Fig. 26(c) and into HfO2 is particularly important to decrease the leakage current
(d). TEM observation of Hf–Al–O films showed that the amorphous at low temperature processed due to the larger conduction band
structure of Hf–Al–O films were stable under RTA at temperatures offset of La2O3 (2.3 eV to Si) compared to that of HfO2 (1.5 eV to Si)
up to at least 1000 8C, as shown in Fig. 27 [191]. [197]. In addition, the LHO MOSFET has less Fermi-level pinning
than pure HfO2 [198,199]. As shown in Fig. 29(a) XRD shows the
3.3. Hafnium lanthanate thermal stability up to 900 8C LHO/Si (1 0 0) samples as-grown and
annealed in N2 at different temperatures [200]. The fixed charge
Hafnium lanthanate (La2Hf2O7) is a promising crystalline oxide density in LHO is usually low and the leakage current level
that has recently gained attention as a replacement gate dielectric generally decreases with annealing temperature (Fig. 29(b)) and
[192] which has a cubic pyrochlore crystal structure. In this ranges from 107 to 102 A/cm2 at 0–3 V, with a negligible
structure, La has a charge of 3+ and is eight-fold coordinated with hysteresis in capacitance–voltage characterization and an attain-
oxygen while Hf has a charge of 4+ and is six-fold coordinated with able EOT of 3.6 nm [195,201,202].

Fig. 27. Cross-section TEM images of thin Hf–Al–O films on p-type (1 0 0) Si substrates with different annealing conditions: (a) as-grown, (b) annealed at 500 8C for 1 min with
oxygen partial pressure of 5 Pa, and (c) RTA at 1000 8C for 10 s.Reprinted from [191].
J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136 117

Fig. 28. (a) Cross-sectional HRTEM micrograph showing La2Hf2O7(LHO) dielectric grown directly on a clean Si surface at 780 8C. The digital FFT patterns of the LHO layer and Si
substrate are shown in (b) and (c), respectively.Reprinted from [195].

Fig. 29. (a) XRD of La2Hf2O7 (LHO)/Si (100) samples as-grown and annealed in N2 at different temperatures. Reprinted from [200]. (b) I–V characteristics of the Pt/LHO/Si MOS
capacitor with increasing RTA temperature.Reprinted from [202].

3.4. Hafnium oxynitride as the existence of N at the dielectric/silicon interface [208]. Fig. 23
shows the bulk structure of hafnium oxynitride, a theoretical
Three main methods have been proposed for the incorporation structure created with a chemical formula of Hf2ON2 (40% nitrogen
of nitrogen in HfO2 [203]. The first method is the incorporation of incorporation) [173].
nitrogen at the dielectric/silicon interface by the nitridation of the HfOxNy films have been reported to have crystallization
silicon surface, usually by NH3, prior to the deposition of HfO2. The temperatures up to 800–950 8C, and their amorphous structure
presence of nitrogen at the interface has been shown to reduce as well as the Hf–N bonding are effective in blocking both oxygen
stress-induced leakage and is believed to improve the device diffusion and boron penetration [208,209]. Hafnium oxynitride
reliability. It also provides a barrier for silicon, boron, and oxygen thin films have been prepared by PECVD [210], ion beam-assisted
diffusion [204]. Additionally, surface nitridation has been reported deposition [211] and reactive magnetron sputtering (DC or RF)
to lower the leakage current density by two orders of magnitude [210,212,213], and their material/electrical properties depend
[205]. It is suggested that the presence of Si–N bonds reduces the much on the N/O atomic ratio, as oxygen exhibits a stronger
formation of a hafnium silicate interfacial layer by minimizing the reactivity than nitrogen with regard to the metal [214]. As-
number of Si atoms available to react with the bulk HfO2 layer deposited HfOxNy films showed an amorphous structure, but
[204]. The second method for incorporating nitrogen is PDA in NH3 samples annealed in O2 ambient at 700–900 8C showed an
or other N-based chemistries. The temperature and duration of increased crystallinity as shown in Fig. 30(a). The influence of
PDA in NH3 dictate the extent to which the treatment can improve nitrogen incorporation into HfO2 thin film was investigated.
the overall properties of the film [203]. Increased PDA tempera- Monoclinic and pseudo-cubic (precisely rhombohedral) g2 crystals
tures and duration times result in more nitrogen incorporation, appeared at the nitrogen concentration [N] less than 9.3 at.%,
which successfully increases the dielectric constant of the bulk film whereas only pseudocubic crystals existed at [N] greater than
[203]. The third method is the direct incorporation of nitrogen in 9.3 at.% [215].
the bulk HfO2 film, forming hafnium oxynitride thin films. The electronic structures of HfOxNy with various nitrogen
Hafnium oxynitride (HfOxNy) has a higher crystallization concentrations were determined using first principles simulation,
temperature (800 8C) than pure HfO2 (400 8C), a large bandgap i.e., the effect of nitrogen incorporation on the density of states and
(6.7 eV), and reasonable conduction band offset to Si (1.33 eV). It the bandgaps. As [N] increases, the dielectric constant increased
was reported that HfOxNy formed by incorporating N into HfO2 nonlinearly. It was demonstrated that the bandgap decreased with
could improve the thermal stability and electrical properties of increasing [N] due to the extension of N 2p states into the bandgap
these gate dielectric films. The nitrogen incorporation can also of t-HfO2 (Fig. 23(a)). Specifically, the bandgap of HfOxNy, as
strengthen the immunity against oxygen diffusion by suppressing measured by reflection electron energy loss spectroscopy (REELS)
the interfacial layer formation during processing [206,207]. The and XPS, was larger than 4.6 eV at [N] less than 18.3 at.%, but
deposition of HfOxNy is believed to provide improved thermal decreased to 3.0 eV at [N] greater than 24.5 at.%. Using the t-HfO2
stability, because of the existence of Hf–N bonds in the bulk as well based (t-HfO2)5(Hf3N4) and (t-HfO2) (Hf3N4) structures, the
118 J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136

Fig. 30. (a) XRD of as-deposited 50 nm thick HfOxNy and HfO2 thin films after annealing at 700–900 8C in O2 ambient [210]. (b) Dielectric constant and (c) bandgap Eg, valence
band offset DEV, and conduction band offset DEC estimated from REELS and XPS as a function of [N], re-plotted from [215].

estimated valence-band offsets at the HfOxNy/Si interface were underlying gate dielectric, especially at high temperatures [217].
1.47 and 1.14 eV for (t-HfO2)5(Hf3N4) and (t-HfO2)(Hf3N4) Adding silicon and nitrogen to the metals or forming metal alloys
(Fig. 23(a)), respectively, which are significantly smaller than have been shown to be effective in improving thermal stability
the reported value for HfO2/Si at 3.0 eV [173]. [217]. Accordingly, the work function of metal alloys can be
Similar work also showed that the channel mobility of HfOxNy modulated by varying the composition [159]. The use of a hafnium-
was significantly enhanced to a comparable value to that of HfO2 based gate electrode is believed to reduce charge transfer and
by high temperature forming gas annealing. Even though higher subsequent dipole formation, through the formation of homonucle-
concentration of N provided better thermal stability while ar bonds between Hf present in both the metal gate and Hf in the gate
sacrificing mobility, by the optimization of [N] and high tempera- dielectric [159,217]. Pure Hf, however, is thermally unstable and
ture forming gas annealing, HfOxNy showed improved mobility tends to react with the underlying gate dielectric. As a result, it is
(250 cm2/eV at peak) as well as the superior thermal stability necessary to alloy it with a more stable metal or incorporate dopants
[216]. The effect of PDA was investigated on the electrical to improve its stability, so that it can be implemented in CMOS
characteristics of Ge MOS capacitors with HfOxNy, as shown in devices [159]. Alloying Hf with Ru and possibly incorporating
Fig. 31 [207]. It was found that a lower EOT of 1.9 nm with a low nitrogen could achieve this goal, as well as provide a means to tune
leakage current of 1.8 105 A/cm2 at 1 V was achieved after the work function.
600 8C annealing for 5 min as shown in Fig. 31. The improved
capacitor properties after the PDA may be closely related to the 4.1. Hafnium nitride
different compositions and thicknesses of the resultant interfacial
layers. HfNx is a viable gate metal electrode material due to its superior
This section summarizes the applicability of hafnium complex properties including a low bulk resistivity of 33 mV-cm, high
materials by incorporating Si, Al and La elements into hafnium thermal stability (up to 1000 8C) with underlying gate dielectrics,
oxide, which reduced leakage current and improved channel excellent resistance against oxygen diffusion, and a mid-gap work
mobility. The next discussion assesses hafnium based complex function (4.65 eV) on SiO2. HfNx thin films have been mostly
materials as metal gates and diffusion barriers in Section 4. fabricated by sputtering, with a low resistivity of 27 mV-cm [218].
HfNx layers with 0.80 x 1.20 have the B1-NaCl structure and
4. Hafnium based complex materials for metal gate and grow epitaxially with a cube-on-cube relationship to the substrate
diffusion barriers [219,220]. A rhombohedral structure may exist in films with higher
[N]. As [N] deceases, films with Hf3N2 and NaCl structure (1 0 0)
Silicides, nitrides, and alloys of metals are possible gate were fabricated and the coexistence of N-rich phase and the (2 0 0)
replacement candidates. Many of the elemental metals considered diffraction peak attributed to cubic Hf–N can be observed in the
as candidates for gate materials fulfill the work function require- film with a nitrogen fraction of 0.33 (fN2) (Fig. 32(a)) [221]. After
ments, however, they can be unstable and tend to react with the PDA of HfNx films at 800–1000 8C, the HfN(2 0 0) crystalline plane

Fig. 31. (left) Cross-sectional HRTEM images of HfOxNy films on (a) and (b) Si and (c) and (d) Ge substrates before and after the PDA. (right) The gate leakage current density–
voltage (J–Vg) characteristics of Pt/HfOxNy/Ge MOS capacitors before (solid symbols) and after PDA (open symbols).Reprinted from [207].
J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136 119

Fig. 32. (a) XRD of films deposited with different f N2 at 300 8C. Reprinted from [221]. (b) XRD characteristics of HfN film before and after various RTA treatments, showing HfN
(1 1 1) and (2 0 0) planes. The inset shows AES depth profiles for as-deposited and 1000 8C RTA-treated HfN films. Reprinted from [222].

Fig. 33. (a) Dependence of EOT for HfN–SiO2 MOSCAPs on the N2 RTA temperature and work function comparison for HfN metal gate on ( ) HfN/HfO2 MOSCAP without surface
nitridation and (^) HfN/HfO2 MOSCAP. Re-plotted with data from [223]. (b) Lattice parameter dependence on the nonstoichiometry for the vacancy, interstitial, and antisite
defect mechanisms in HfN1x. (c) The formation enthalpy of nonstoichiometric HfN1x with respect to either the evolution of N2 gas or addition of excess Hf metal.Re-plotted
with data from [224].

was found to be dominant over HfN(1 1 1) and additional formation of RuOx monolayer) then decreased to 4.4 eV above
crystalline phases were found corresponding to the formation of 900 8C (due to the formation of RuSix at the interface) [225–227], as
HfOxNy at the HfN surface (Fig. 32(b)) [222]. shown in Fig. 34.
HfN is shown to possess a midgap work function (4.65 eV) in Pure and nitrided hafnium ruthenium (HfxRuyNz) gate electro-
tantalum nitride (TaN)/HfN/SiO2 Si MOS structures. In addition, des atop ALD HfO2 were fabricated using sputtering [226]. Since
HfN–HfO2 and HfN–SiO2 gate stacks also demonstrated robust Fermi level pinning is a more severe issue for higher work function
resistance against high-temperature RTA up to 1000 8C, in terms of metals, their compositions were optimized for suitable applica-
thermal stability of EOT, work function, and leakage current as tions in p-MOSFET by performing careful material and electrical
shown in Fig. 33(a). This excellent property was attributed to the characterizations. As shown in Fig. 35, effective work functions
superior oxygen diffusion barrier of HfN as well as the chemical ranging from 4.4 to 5.0 eV were achieved in HfxRuy alloys when the
stability of HfN–HfO2 [223]. The effect of nonstoichiometry in HfNx Ru metal ratio was varied from 53% to 74%. The corresponding
was studied by DFT for 0.75 x 1 [224]. Fig. 33(b) presents the nitrided alloys HfxRuyNz (0–25% N) had effective work functions of
predicted lattice parameter of HfN1x as a function of composition 4.9–5.2 eV. Among these materials, Hf0.26Ru0.74 and
for all three mechanisms. The vacancy mechanism in particular Hf0.05Ru0.77N0.18 were determined to have adequate effective
shows only a small change in lattice parameter as a function of work functions for p-MOSFET devices of 5.0 and 5.2 eV, respec-
nitrogen deficiency. The predicted formation enthalpies for each of tively. The slightly higher than expected effective work functions
these processes are shown in Fig. 33(c). While there is no gain in of these metal gates are attributed to the presence of oxygen. The
enthalpy to form a nonstoichiometric composition from a depth profiling of the as-deposited gate stacks showed reasonably
stoichiometric material by the evolution of N2 gas, there is a clear sharp interfaces between the gate electrode and the gate dielectric
enthalpy gain for the reaction of stoichiometric HfN with excess Hf with the HfxRuy alloys exhibiting better interfacial properties.
metal up to a composition of HfN0.875 [224]. Upon annealing, the HfxRuy alloys were found to be more stable
than the HfxRuyNz alloys on HfO2.
4.2. Hafnium ruthenium
4.3. Hafnium diboride and transition metal phosphides for diffusion
HfxRuy has been studied for use as a metal gate electrode and its barriers
work function shows strong temperature dependence when Ru
electrodes are deposited on SiO2, but not on hafnium silicates Like many transition metal diborides, hafnium diboride
(HfSiOx) [225]. Specifically, the work function of Ru on SiO2 (HfB2), has attractive properties including extremely high
increased from 4.5 to 5 eV from 500 to 700 8C (possibly due to the values of melting temperature, high thermal and electrical
120 J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136

Fig. 34. (a) The effect of annealing temperature on the work function of Ru and Hf/Ru metal gates on SiO2 gate dielectric. TEM cross-sections of the Ru/SiO2 gate stack annealed
at (b) 700 8C and (c) 900 8C. An addition layer was formed at the Ru/SiO2 interface after 900 8C anneal.Reprinted from [225].

Fig. 35. The effective work function (EWF) change as (a) a function of Ru ratio in HfxRuy films in comparison to those of Hf and Ru and (b) as a function of N atomic percent in
HfxRuyNz films.Re-plotted from [226].

conductivity, high hardness, and high chemical stability temperatures (300 8C), the onset of growth is delayed on both Si
[228,229]. Specifically, HfB2 has a melting temperature of and SiO2 surfaces due to the difficulty of nucleation. At low
3250 8C and a bulk resistivity of 15 mV-cm. Owing to its temperatures, the film morphology is a sensitive function of the
remarkable properties, HfB2 has potential applications in precursor pressure because site-blocking effects change the reaction
microelectronics as a diffusion barrier between copper and probability [232].
silicon and has been investigated as a contact metal and a gate HfB2 thin films from a single-source precursor Hf(BH4)4 were
electrode material for Si MOSFETs [230,231]. deposited by CVD [229,233]. Stoichiometric thin films of HfB2 can
Spectroscopic ellipsometry was used to analyze the CVD process be deposited by CVD at temperature as low as 200 8C [234]. Films
of these hafnium diboride thin films by modeling the film optical deposited at 500 8C were structurally amorphous from XRD
constants with a Drude–Lorentz model. The calculated resistivity for analysis; a 12 nm thick film was sufficient to prevent copper
amorphous films deposited at low temperature ranged from 340 to diffusion into silicon during 30 min, 600 8C anneal. Films deposited
760 mV cm, within 25% of those measured with a four-point probe. above 500 8C were crystalline, but had a columnar microstructure
Also, at low precursor pressures (106 Torr) and low substrate with low density as shown in Fig. 36.

Fig. 36. (a) XRD of HfB2 at different deposition temperatures. (b) SEM cross sectional image of a HfB2 film on Si, deposited at 700 8C.Reprinted from [234].
J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136 121

The phosphorus rich (M/P < 1) phases are typically thermally materials are usually far more complex than that with Si and
and chemically unstable, whereas the metal-rich (M/P > 1) and require more research effort if hafnium based materials are to
monophosphides (M/P  1) are usually hard materials with high become the new standard for gate dielectrics in all semiconductor
electrical conductivities. Group IV-B metal phosphide films from based devices. Ideally, dielectric thin films, for industrial applica-
tetrakisdimethylamido(metal) and cyclohexylphosphine precur- tions, should be amorphous to prevent leakage paths along grain
sors were fabricated via dual-source atmospheric-pressure CVD. boundaries and should have sharp interfaces with the substrate
Deposition took place at low temperatures (<500 8C), and nitrogen and the gate material. However, the sensitivity associated with
and carbon contamination of the films was negligible. The films chemical deposition techniques can lead to thickness variations,
had typical stoichiometric metal phosphide properties of high chemical composition deviations from the ideal stoichiometry,
electrical conductivity, hardness, and chemical resistivity [235]. interfacial roughness, phase formation at the interface with the
The structure of trihafnium diphosphide, Hf3P2, has been gate material, and different degrees of crystallinity with various
redetermined by single-crystal analysis. Hf3P2 crystallizes in the grain sizes and crystal structures. Obviously, all of these
orthorhombic space group Pnma (No. 62), with a = 10.128, morphological, structural, and chemical defects can have a direct
b = 3.5707, c = 9.868 Å, V = 356.87 Å3, in the anti-Sb2S3 structure impact on the electronic properties and the leakage current as
type. The P atoms are situated in singly capped and bicapped summarized in this section.
trigonal Hf prisms [236].
This section summarized the applicability of hafnium-based 5.1. Silicon
complex material as metal gates and diffusion barriers. Adding
Si and nitrogen to the metals or forming metal alloys achieved As discussed in Section 3, at the interface of HfO2 and Si, an
the improved thermal stability as well as provided a means to interfacial layer is often present, either as a result of oxidizing
tune the work function, so that it can realize the CMOS devices. growth conditions [52] or because it is intentionally grown as a
The Section 5 further assesses the applicability of hafnium- nucleation layer before high-k deposition [101,210,238]. This
based materials on other semiconducting substrates, such as Ge, interfacial layer has been thoroughly studied by various
GaN, InP, GaAs and SiC. microscopy and spectroscopy techniques [239,240]. In general,
the interfacial layer is amorphous and SiO2-rich, and the
5. Interfaces with semiconductors interface states are found to be dependent on the HfO2 film
thickness and the growth/deposition conditions [238]. It is also
The interface between hafnium oxide and other hafnium-based reported that no bulk-like silicate bonding was detected from
complex materials with silicon, as well as a variety of semi- layers at the Si substrate interface in the energy-loss near-edge
conductors, is crucial to their implementation and defines their fine structure (ELNES) of Si L2,3 and O K edges. Compared to bulk
impact. Semiconductors materials, including Ge, GaN, and InP as SiO2, ELNES showed additional features that were caused by an
shown in Fig. 37 can be in the form of high-speed channels or overlap of signals from Si, HfO2, and SiO2 [239]. HR-TEM and
alternative substrates. Their interfaces with hafnium based electron energy loss spectrometry showed that the interfacial

Fig. 37. A diagram of comparisons between hafnium based materials on semiconductors [237]. Eg: band gap (eV), mp: hole mobility (cm2/V), me: electron mobility (cm2/V),
aLatt: lattice parameter (Å), Tm: melting temp (8C), DEc: conduction band (eV), DEv: valance band (eV), gm: trans-conductance (mS/min), Ig: gate leakage current (mA/mm) and
Dit: interface trap density (cm2 eV1).
122 J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136

Fig. 38. (a) HR-TEM image of HfO2 film deposited at 750 8C by applying 500 ALD cycles and (b) EDS spectrum acquired from the point marked by EDP.Reprinted from [239].

Fig. 39. (a) XRD of as-grown and annealed HfO2 films at 750 8C for 5 min at 45 Å, 105 Å, and 265 Å thicknesses. The letters m and t represent the monoclinic and tetragonal
structure of the HfO2 film, respectively. (b) C–V curves of the 105 Å HfO2 films after rapid thermal annealing treatment at 750 8C, 850 8C, and 950 8C for 5 min in N2 ambient.
The inset shows the relationship between physical thickness and EOT to SiO2.Reprinted from [241].

layer was amorphous and contained SiO2 mixed with a small structure of monoclinic or tetragonal phases, as the annealing
amount of elemental Si at the atomic level as shown in Fig. 38 temperature increased as shown in Fig. 39 [241].
[239]. The effect of annealing chemistry has a wide range of effects on
The thermal stability of HfO2/Si interface has been shown to the HfO2/Si interface. PDA in all chemistries decreased the leakage
vary, depending upon the annealing conditions (temperature) and current densities by orders of magnitude at the same EOT
chemistry (forming gas or inert gas) [241]. It has been shown that compared to that of SiO2 (Fig. 40(a)) [243]. Annealing in O2,
the thickness of the interfacial layer always increases upon high and NH3, also significantly reduced the interface state densities, by
temperature annealing, often accompanied with a change in nearly one order of magnitude, although the EOT increased due to
composition and short-range order [242]. Because the interfacial the growth of an additional interfacial layer. The forming gas
layer is SiO2-rich, the overall dielectric constant of the gate annealing with either H2 or D2 significantly improved the drive
dielectric stack decreases. It had been shown that a thin HfO2 film current or the surface electron mobility and the quality of the HfO2/
(4–5 nm) could be grown to be amorphous which subsequently Si interface without significantly affecting the EOT (Fig. 40(b))
transformed into a polycrystalline structure upon RTA at 750 8C for [244]. The as-deposited HfO2 thin film without any PDA treatment
5 min, while thicker films were grown as a polycrystalline had considerable initial traps and a severe electron trapping effect.

Fig. 40. (a) Leakage current after gate injection stress of HfO2 at various PDA temperatures [243]. (b) Leakage current density at 1.5 V vs. EOT, of as-deposited and annealed
HfO2 films [244]. (c) Electron mobilities at 1 MV/cm for different surface preparations and different forming gas annealing temperatures.Reprinted from [245,15].
J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136 123

Fig. 41. The relaxed crystal structure and the total and layer-resolved Hf d partial density of states of: (a) the t-HfO2(0 0 1)-H/Si(1 0 0)-2 1 interface, (b) the HfSiO4/Si(1 0 0)
interface [15]. (c) Band alignment of various stack components in Si–SiO2–HfO2 gate stacks, in which the charge transition levels e2+/0 and pinning levels epin are indicated.
Offsets with respect to the silicon band edges are given in eV.Re-plotted from [247].

Rapid thermal oxidation and NH3/NO surface treatments have 5.2. Germanium
been shown to effectively improve the MOSFET performance in
terms of reduced trap density, sub-threshold swings, drive current From the viewpoint of carrier transport, germanium is an
and enhanced electron/hole mobility, as shown in Fig. 40(c) [245]. attractive semiconductor due to both high electron and hole
The band alignment at the HfO2/Si interface is sensitive to the mobilities even though it is thermally unstable, hygroscopic and
local bonding arrangement and composition, which governs the has poor interfacial quality [248]. However, recent progress on
defect density and charge transfer. From ab initio DFT calculations, deposited high-k dielectrics and thin germanium oxynitrides have
it has been reported that less than 1 monolayer of Hf exists during returned Ge MOSFETs to the arena as a promising candidate for
the initial ALD growth of HfO2 on Si, making the oxygen high-speed electronics [249]. In addition, a silicon germanium
coordination at the interface either two (one Si and one Hf atom) (Si1xGex) substrate has been adopted to compensate the
or three (two Hf and one Si atoms). The interstitial oxygen degradation problem of Si as the compressive strain effect causes
migration from HfO2 into Si is also calculated to be a cause for the the carrier (hole) mobility in Si1xGex to be several times higher
SiOx interface formation. Furthermore, the oxygen vacancy than that of silicon in pMOSFETs [249].
formation energy at the Si–O–Hf site is 1 eV lower than that in It has been reported that an interlayer without significant
the bulk HfO2. This makes the Si–Hf bond a likely interfacial defect, interfacial growth enabled quasiepitaxial growth of HfO2 on the Ge
which is predicted to be a shallow hole trap [15]. This local bonding surface after wet chemical treatment, whereas a nitrided interface
arrangement has a dominant effect on the band alignment. resulted in an amorphous HfO2. It was discovered that the stack
As shown in Fig. 41 [15], the dangling bond states originating was structurally stable up to 780 8C [250]. The effect of this
from the partial occupancy of Hf d orbitals at a stoichiometric nitrided layer on thermal stability of the metal oxide/Ge structures
HfO2/Si interface can be passivated by hydrogen or bonded to was probed by medium energy ion energy spectroscopy (MEIS).
oxygen, both of which affect the band alignment (see Fig. 3). A ALD HfO2 on a chemical oxide-terminated Ge(1 0 0) surface
HfSiO4/Si interface with a better lattice match has no dangling exhibited poor capacitance–voltage behavior; however, direct
bond states. It has also been reported that when HfO2 is strained to substrate surface nitridation at 600 8C in NH3 ambient before HfO2
fit on Si(1 0 0) epitaxially, the structure, coordination, and deposition improved the carrier trapping characteristics. Addi-
electronic band edges are very sensitive to local strain. The tionally, the leakage current densities were identical for both
interface dipole was found to be important and sensitive to the polarities and were similar to or even lower than those of ALD-
location of the interfacial oxygen atoms. Thermodynamics favored HfO2 films deposited on Si substrates having identical physical
epitaxial growth of an interface with fourfold coordinated oxygen thickness of HfO2, as shown in Fig. 42 [250].
atoms [246]. To address these issues, a high quality SiO2 layer can The thermal stability of the HfO2/Ge interface was shown to vary
be introduced between HfO2 and Si. This proposed scheme yielded significantly depending upon the annealing conditions and chemis-
band offsets in close agreement with experiment. Charge transi- try. The higher PDA temperature and longer PDA time was found to
tion and pinning levels associated with oxygen vacancies were yield a lower EOT of HfOxNy/Ge gate stack (Fig. 43(a)) [207]. A lower
aligned with respect to the silicon band edges. The vacancies were EOT of 2 nm with a low leakage current of 1.8 105 A/cm2 at 1 V
shown to preferentially reside in the amorphous transition layer, was achieved after 600 8C annealing for 5 min as shown in Fig. 43(b)
consistent with experimental observations of Fermi-level pinning [207]. As shown in Fig. 43(c), HfO2 gate dielectric layer has a low
as shown in Fig. 41(b) [247]. interface state density (3 1011 cm2 eV1) and a small EOT of

Fig. 42. Cross-sectional HR-TEM images of ALD-HfO2 on Ge with (left) GeOx interface layer via chemical oxide (0.8–0.9 nm) and (right) GeOxNy (1.1–1.2 nm) via surface
nitridation at 600 8C in NH3, along with MEIS spectra and fitted curves.Reprinted from [207,250].
124 J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136

Fig. 43. (a) The EOT dependence of HfOxNy film on Si (solid symbol) and Ge (open symbol) substrates as a function of the PDA temperature and time [207]. (b) Gate leakage
currents vs. the EOT of Pt/HfOxNy/p-Ge MOS capacitors (solid symbols) plotted with data from other materials systems (open symbols). Re-plotted from [207]. (c) Dit of HfO2/
GeOxNy/n-Ge stacks in the upper half of band gap before and after forming gas anneal at 370 8C. Reprinted from [251].

Fig. 44. (a) Energy band diagrams of the (left) Ge/HfO2 and (right) Ge/GeO2/HfO2 structures inferred from the IPE experiments. The origin of the energy scale is placed at the
top of Ge valence band. The measurement error of the barrier height determination is 0.1 eV. Re-plotted from [23]. (b) Schematic band alignment of gate stacks in p-Ge MOS
capacitors for HfO2/Ge-rich GeOx/O-rich GeOx. Re-plotted from [253].

1.35 nm after nitridation of Ge(1 0 0) and ALD of HfO2. The electrical quality of HfO2/Ge gate stacks is slightly improved, but
nitrogen content of the GeOxNy affects both the crystalline structure the interface state densities and fixed charge level still need to be
of the overlying HfO2 and Ge diffusion into the HfO2. Diffusion of Ge improved. The other Ge passivation scheme that has been reported
(10 at.%) into the HfO2 layer during forming gas anneals appeared to was a chemical etch by HBr(aq), however, resulting in poor HfO2
stabilize a tetragonal or cubic phase rather than the typically nucleation. It was found that the passivation layer formed by
observed monoclinic phase, further improving the capacitance aqueous ammonium sulfide (NH4)2S(aq) was largely preserved
scaling [251]. after ALD of the high-k dielectric material HfO2. Oxygen incorpo-
The HfO2/Ge interface band alignment was directly determined ration was moderate and resulted in an electrically passivating
using internal photoemission and the inferred conduction and GeOS interface layer (Fig. 45) [256].
valence offsets at the interface were 2.0  0.1 and 3.0  0.1 eV, Interfacial layer (IL) containing Ge in hafnium-based dielectrics
respectively, suggesting the viability of applying the deposited HfO2 on a Si1xGex substrate after a PDA has been shown that atomic
as an insulator on Ge (Fig. 44(a)). The PDA of the HfO2/Ge structures exchanges were observed across the interface between a HfO2 thin
in oxygen results in 1 eV reduction of the valence band offset film and strained semiconducting Si1xGex (x = 0.1, 0.2, and 0.3)
attributed to the growth of a GeO2 interlayer [23]. In addition, the [257–259]. Atomic concentration profiles of Hf, Si, O, and Ge from
band alignment of HfO2/Ge(1 0 0) is sensitive to the growth the HfO2/IL/SiGe/Si structure were determined by EDS analysis as
conditions, such as the different types of oxidants, O3 versus H2O shown in Fig. 46. The fabricated SiGe MOS capacitors showed a low
[252]. In addition, HfO2 deposition induced the formation of a thicker leakage current density of 6.5 107 A/cm2 at a gate voltage of
germinate (intermixed) layer at the HfO2/GeOx interface, where 1.0 V, a breakdown field of 6.5 MV/cm, and a moderately low
nonstoichiometric Ge-rich GeOx having a significantly low bandgap interface state density of 5.5 1011 cm2 eV1 [257].
(1.8 eV) was present [253]. As shown in Fig. 44(b), the band The HfO2 ALD growth mechanism on Ge(1 0 0)-2 1 surface
alignment indicated that the Ge-rich GeOx layer at HfO2/GeOx became was investigated using DFT. Both the HfCl4 and H2O half-reactions
a significant band potential well for trapping electrons. These results proceed through an analogous trapping-mediated mechanism. The
strongly suggest that the control of the GeOx interface layers is crucial neighboring hydroxyl in the reaction of HfCl4 with two Ge–OH*
in order to reduce the high charge trapping in the HfO2/GeOx/Ge stack. sites had a major effect on the formation of HfCl4 adsorbed
To prevent uncontrolled interface reactions, Ge treatment with complex. In addition, it was found that both the Ge and Si reaction
a stronger passivant than oxygen seems desirable. Most work so far pathways were qualitatively similar; however, adsorption of HfCl4
has concentrated on nitridation using atomic N exposure or an NH3 was favorable on Ge than on Si surface hydroxyl sites. By
gas treatment. Indeed, Ge oxynitride layers thus formed are more comparison of the reactions of H2O on the different surfaces, the
effective passivants, as evidenced by amorphous ALD-grown HfO2 differences in energy were negligible to alter the reaction
films and reduced Ge migration into HfO2 [250,254,255]. The mechanism as shown in Fig. 47 [260].
J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136 125

Fig. 45. (left) TEM images from 77 Å HfO2 deposited by ALD at 220 8C onto an (a) HF-cleaned Ge(1 0 0) and a (b) (NH4)2S(aq)-treated Ge(1 0 0); both with Al gate electrodes.
Inset in (b) shows SIMS profiles (normalized to maximum intensity = 1) of Al, HfO2, S, and Ge for the Al/HfO2/(NH4)2S(aq)/Ge(100) stack. (right) Frequency-dependent
conductance Gp/v for a series of gate voltages, for (a) S-passivated and (b) NH3-annealed n-Ge(1 0 0); and (c) plot of Dit vs. energy relative to the valence band edge for S-
passivated and NH3-annealed n-Ge(1 0 0) extracted using the high-low capacitance (lines) and frequency-dependent conductance (points) methods.Reprinted from [256].

Fig. 46. (a) Atomic concentration profiles of Hf, Si, O, and Ge from the HfO2/IL/SiGe/Si structure by EDS analysis. The numbers correspond to each beam position from the EDS.
(b) Leakage current density vs. gate voltage characteristics of the fabricated SiGe MOS structure. Inset shows the leakage current density with different charge injections
through the gate electrode.Reprinted from [257].

Fig. 47. Reaction pathway and predicted energetics for reactions of HfCl4 on the Ge9H13–OH (solid) and Ge9H12–(OH)2 surface sites (dashed). The structures are shown using
Ge9H12–(OH)2 cluster. (left) The stationary points correspond to (a) HfCl4 and Ge9H13–OH/Ge9H12–(OH)2 reactants, (b) HfCl4 (a) chemisorbed complex, (c) HCl formation TS,
(d) Ge–O–HfCl3* and HCl products, and (e) HCl(a) physisorbed complex. (right) The stationary points correspond to (a) HfCl4 and Ge9H12–(OH)2/Si9H12–(OH)2 reactants, (b)
HfCl4(a) chemisorbed complex, (c) HCl formation TS1, (d) HCl (a) physisorbed complex 1, (e) –O– HfCl3 complex and HCl products, (f) HCl formation TS2, (g) HCl(a)
physisorbed complex 2, and (h) –O–HfCl2–O– complex and HCl products.Reprinted from [260].
126 J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136

Fig. 48. (a) Cross-sectional HR-TEM image of HfO2 8.8 nm thick on GaN. (b) The XPS spectrum of Ga 2p3/2 core level. (c) Interface trap densities for the GaN MOS capacitors
with various surface treatments.Reprinted from [262,263].

5.3. Gallium nitride densities, dielectric constants, and effective oxide charges de-
creased monotonically as the annealing time increased [263].
The superior properties of GaN-based materials, including a wide HfO2 has also been used as a surface passivation layer in AlGaN/
bandgap (ranging from 3.4 to 6.2 eV for AlxGa1xN), high breakdown GaN HEMTs and as gate oxide in MOS-HEMTs [261]. It has been
field (106 V cm1), high electron saturation velocity (107 cm s1) shown that MOS-HEMTs exhibit a significantly reduced gate
and high sheet carrier concentration (1013 cm2) resulting from current (IGS) compared to the unpassivated HEMTs. Specifically, at
the strong polarization fields at the AlGaN/GaN heterointerface, a gate bias of +2 V, a nearly five orders of magnitude lower gate
have made AlGaN/GaN high electron mobility transistors (HEMTs) current was obtained. Fig. 50 shows that the peak transconduc-
promising contenders for high-speed, high-temperature and high- tance (gm-max) of the passivated HEMTs and that of MOS-HEMTs
power applications [261]. GaN-based MOS device with HfO2 as a exhibit a 10% increase and a 8.7% decrease, respectively, relative to
gate dielectric has been also extensively researched. that of the unpassivated HEMTs. It is, therefore, concluded that the
An interfacial layer of GaON has been verified at the HfO2/GaN improvement in HfO2-passivated HEMTs is mainly due to the
hetero-junction, as shown in Fig. 48(a and b). This gate stack was quenching of GaN surface states, which would otherwise trap
shown to have electrical and structural properties comparable to electrons and cause device performance degradation.
those of high-k materials on Si and GaAs, namely, a low Dit of Finally, the PDA conditions also have a significant effect on the
2 1011 cm2 eV1, a low leakage current density of 107– band bending and band alignment at the HfO2/GaN interface. As
108 A/cm2 at an EOT of 2.1 nm and a field of 1 MV/cm, good shown in Fig. 51, a PDA at 650 8C resulted in a 0.6 and 0.4 eV
thermodynamic stability at the heterostructure (with 600 8C change in band bending and valence band offset, respectively. The
annealing), and negligible frequency dispersion in the C–V final annealed HfO2/GaN interface exhibited a valence band offset
measurements [262]. The various GaN surface treatment methods, of 0.3 eV and a conduction band offset of 2.1 eV, as shown in Fig. 51
including KOH, HCl and buffered oxide etch (BOE), were found to [264].
affect the interface and the corresponding electrical properties,
reducing the dielectric constant slightly but increasing the flat- 5.4. Indium phosphide and gallium arsenide
band voltage, eliminating the hysteresis in the capacitance–
voltage measurement, and yielding a similar leakage current level InP is another commonly used compound semiconductor with
compared to that without surface treatment (Fig. 48(c)). wide applications in electronic, optoelectronic, and photonic
The PDA chemistry and temperature were also shown to have a devices. It is well known to have promising advantages over Si
dominant effect on the electrical performance of an HfO2/GaN such as a higher electron mobility and higher breakdown field.
interface. A highest dielectric constant of HfO2 (17) was obtained Therefore, InP has recently received a great deal of attention for
when the sample was annealed at 600 8C for 20 min, while the MOS capacitor [265] and MOSFET applications [266]. InP inversion
lowest interface trap density (5.3 1011 cm2) was obtained when type MOSFETs with ALD Al2O3 have been shown to have a high
the sample was annealed at 800 8C for 40 min (Fig. 49(a)). The drive current density and a much smaller off-current density due
leakage current (Fig. 49(b)), flat-band voltage, interface trap to its larger bandgap (1.34 eV) compared to that of InGaAs (0.74 eV

Fig. 49. (a) Leakage current density J (A/cm2) vs. E (MV/cm) for TiN/HfO2/GaN MOS devices with different thermal processes. (b) J–V curves of GaN MOS capacitors with HfO2
annealed at 500–800 8C for 40 min.Reprinted from [262,263].
J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136 127

Fig. 50. (a) Comparison of gate leakage current and (b) the transfer characteristics (gm–VGS) of unpassivated HEMTs, passivated HEMTs, and MOS-HEMTs.Reprinted from
[261].

The use of an interface passivation layer (IPL) between InP and


HfO2 has been demonstrated to provide higher quality interfaces
and EOT scalability. For example, a thin Si IPL improved the
electrical properties of MOSCAP and MOSFETs on InP using ALD
HfO2 gate dielectric. Compared with just HfO2, the Si IPL results in
better interface quality with InP substrate, as illustrated by smaller
frequency dispersion and reduced hysteresis as shown in
Fig. 53(a). MOSFETs with Si IPL show much higher drive current
and transconductance, improved subthreshold swing, interface-
trap density and gate leakage current with an EOT scaling down to
1.8 nm, as shown in Fig. 53(b and c) [269].
Thin Ge and sulfur (S) IPL also improved the electric properties
Fig. 51. Deduced bands for the interface between n-type GaN and HfO2 (a) before
of HfO2-based n-channel MOSFETs and MOSCAPs on InP. These
and (b) after annealing at 650 8C.Re-plotted from [264].
samples were prepared by HF cleaning of InP, S passivation by
(NH4)2S and the deposition of a Ge layer and a HfO2 dielectric film
for In0.53Ga0.47As) [266]. Some of characteristics make InP a subsequently. As shown in Fig. 54, excellent electrical character-
promising material for future low-power logic application. istics such as a large trans-conductance (9.3 mS/mm) and large
Detailed Monte Carlo simulations of deeply scaled n-MOS devices drain currents of 12.3 mA/mm at Vd = 2 V and Vg = Vth + 2 V were
indicated that an InP channel could enable high-field transcon- achieved. It was found that MOS devices on p-type InP substrates
ductance 60% higher than either Si, Ge, or GaAs at the same suffered severe Fermi level pinning, however, no such pinning was
equivalent channel length [267]. It has been reported that HfAlOx/ found for n-type or Si/InP substrates [265].
HfO2 gate dielectric was deposited by ALD on n-type InP(1 0 0) to GaAs has great advantages over silicon-based devices for both
prepare InP MOSCAPs and MOSFETs. Compared with just HfO2, the high-speed and high-power applications because it is semi-
use of stacked HfAlOx/HfO2 resulted in better interface quality with insulating and its electron mobility is five times greater than that
InP substrate, as illustrated by smaller frequency dispersion and in Si. In contrast to GaAs MOSFETs and HEMTs, both of which have
lower leakage current density as shown in Fig. 52(left). The a severe limit on forward gate bias due to the Schottky barrier,
MOSFETs with this gate dielectric achieved two times higher GaAs MOSFETs feature a much larger logic swing, which provides
transconductance than those with a 3.5 nm HfO2 film, as shown in greater flexibility in digital IC design [270,271]. The band
Fig. 52(right) [268]. alignment at HfO2 and GaAs hetero-junction shows a conduction

Fig. 52. (left) Leakage current density vs. gate voltage of InP MOSCAPs with different gate dielectrics (a) 35 Å HfO2, (b) 30 Å HfAlOx, (c) 6 Å HfAlOx/25 Å HfO2, and (d) 10 Å
HfAlOx/25 Å HfO2. (right) Extrinsic transconductance (gm) vs. (Vg) at Vd = 50 mV for InP MOSFETs with (a) 35 Å HfO2, (c) 6 Å HfAlOx/25 Å HfO2, and (d) 10 Å HfAlOx/25 Å
HfO2.Reprinted from [268].
128 J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136

Fig. 53. (a) Hysteresis and frequency dispersion behavior of InP MOSCAPs with 10 Å Si IPL/51 Å HfO2 stacked gate dielectric. Inset: its hysteresis characteristics. (b) Drain
currents and extrinsic transconductance vs. gate voltage. (c) Gate leakage current density vs. gate voltage for InP MOSFETs with 70 Å HfO2 (circle) and 10 Å Si IPL/51 Å HfO2
stacked gate dielectric (square). Inset: Dit comparison extracted by full conductance method.Reprinted from [269].

Fig. 54. (a) Id–Vg, and (b) Ig–Vg characteristics from n-channel InP MOSFETs with a Ge IPL and 10 nm HfO2.Re-plotted from [265].

band offset of 1.9  0.2 eV and a valence band offset value was 1 mm) at Vd = 2 V and Vg = Vth + 2 V were obtained as shown in
2.1  0.1 eV [17] (Fig. 55(a)). A sulfur IPL can be introduced between Fig. 55(c) [272].
GaAs and HfO2 by HCl cleaning and (NH4)2S passivation [20]. Traces of
arsenic and sulfur were observed after a 450 8C PDA due to out- 5.5. Silicon carbide
diffusion and may be the origin of the electrically active defects. With
the sulfur passivation, the valence-band and the conduction-band Silicon carbide (SiC) has several unique characteristics that
offsets at the HfO2/GaAs interface were deduced to be 3.18 eV and a make it the most promising wide band gap semiconductor for
range of 0.87–1.36 eV, respectively as shown in Fig. 55(b). A thin Ge high-temperature and high-power device applications. It has a
IPL has also been employed in an HfO2/GaAs enhancement-mode large bandgap (4H SiC: Eg = 3.26 eV), a low room-temperature
MOSFETs. The threshold voltage of 0.5 V, the transconductance of intrinsic carrier concentration (4H SiC: ni = 5 109 cm3, 6H SiC:
0.25 mS/mm, the subthreshold swing of 130 mV/decade, and the ni = 1.6 106 cm3) compared to silicon (ni = 1.0 1010 cm3)
drain current of 162 mA/mm (normalized to the gate length of [273] and, electron mobility of 4H-SiC (800 cm2 V1 s1), a

Fig. 55. (a) Band alignment at the interface of HfO2 and GaAs. The conduction band offset was measured with IPE, while the valence band offset was measured with XPS, and
HfO2 band gap was determined by Photoconductivity (PC). Re-plotted from [17]. (b) Energy-band diagram of HfO2/S/GaAs structure inferred from the synchrotron radiation
photoemission spectroscopy measurements. Re-plotted from [20]. (c) The dc Id–Vg output characteristics from an TaN/HfO2/Ge/GaAs based MOSFET (L/W = 6/416 mm). Re-
plotted from [272].
J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136 129

Fig. 56. (a) Comparison of HfO2/4H-SiC band alignment results based on XPS analysis and DFT calculations. Re-plotted from [19]. (b) The schematic band alignments
comparing HfO2/SiC and HfO2/SiO2/SiC systems. Re-plotted from [275].

superior thermal conductivity and a high breakdown field. SiC has issues raised during integration, the loss of carrier mobility
also been employed as a substrate for depositing high-k gate systematically observed in devices, regardless of the high-k
oxides, such as HfO2, to enable MOSFET operation near the dielectric, remains a critical issue. It has been suggested that the
breakdown field while maintaining a significantly lower field in mobility loss is due to a combination of several physical
the oxides. To evaluate the potential of HfO2 as a gate dielectric in mechanisms, namely; (a) the remote coulomb scattering (RCS)
SiC power MOSFETs, the band alignment at the HfO2/4H-SiC between inversion layer electrons and charged defects fixed in the
interface was determined by XPS measurements and first- high-k film, (b) remote soft-optical phonon scattering (RPS) and (c)
principles calculations using DFT. A valence band offset of inhomogeneity (thickness, roughness, and permittivity) [276].
1.74 eV and a conduction band offset of 0.70 eV were determined Nevertheless, there is not yet a clear understanding of the actual
based on the valence band and core-level spectra (Fig. 56(a)) [19]. causes as the origin of the mobility degradation are convoluted
DFT simulations of the Si-terminated 4H-SiC (0 0 0 1) surface with the different deposition methods used to synthesize the
found a 1 1 relaxed structure whereas simulations of the C- hafnium based materials [277].
terminated surface observed a 2 1 reconstruction to form C–C
dimers. Two m-HfO2/4H-SiC (0 0 0 1) supercells based on these 6.1. Interface engineering
surfaces of different termination were studied to yield valence
band offsets of 2.09 and 1.47 eV, and conduction band offsets of Among various gate integration approaches, including materi-
0.35 and 0.97 eV, respectively [19]. The effect of an interfacial SiO2 als selection, process method, successful implementation of the
layer between HfO2 and SiC has also been delineated. An HfO2/ new gate structures, a complete understanding of the atomic
SiO2/SiC stack is capable of operating at a large electric field at the coordination and stoichiometry, at the interface remain the most
surface of the semiconductor (up to 3 MV/cm), yielding an critical aspect in engineering an optimal gate stack with the
interface with a reasonably low defect density (in the range of hafnium based materials. As shown in Fig. 57 [277], the (1 0 0)
1011 cm2 eV1) [274]. This interfacial layer is shown to increase surface of HfO2 has three different states/stoichiometries: (a) polar
the band offsets, thereby reducing the leakage current level and double O-terminated, (b) nonpolar and single O-terminated, or
(Fig. 56(b)) [275]. (c) polar and Hf-terminated, depending on if the O coverage at the
interface is 1, 1/2, or 0 monolayers. When the nonpolar face of HfO2
6. Integration challenges was added to unreconstructed Si(1 0 0), the fully O-terminated
interface are obtained (Fig. 57(a)). The interface between Si(1 0 0)
As discussed in Section 5, one of the major challenges in high-k and the nonpolar face of HfO2 has a half monolayer of O
gate dielectrics is controlling the interfacial quality of high-k (Fig. 57(b)). In third case, when the Hf-terminated polar HfO
materials on various semiconductor substrates. Hafnium-based unit was added to the Si(1 0 0), a passivated surface was created as
dielectrics are the most promising candidates, partly due to the shown in Fig. 57(c). The total energies, relaxed structures, interface
large amount of research performed on these materials. Among the electronic states, band offsets, and electrical performance are all

Fig. 57. HfO2/Si(1 0 0) interfaces with (a) O monolayer termination, (b) 1/2 O monolayer termination, and (c) Hf-termination.Reprinted from [277].
130 J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136

Fig. 58. (a) O 1s energy-loss spectra for Hf-silicate films. The boxed region is magnified in (b). The arrows mark the distance to the main O 1s transition. (c) Valence band
spectra for Hf-silicate films.Reprinted from [75].

closely related to and affected by the chemical and physical states term reliability. For example, the band gap, band alignment with Si
at this interface. and the chemical bonding of HfxSi1xO2 films with 0 x 1 were
The formation of interfacial oxides, silicates, and silicides was investigated and the band gap was found to gradually decrease
often observed during the film deposition or the subsequent PDA with the increase in Hf content (see Fig. 23(b)). As can be seen in
[278]. Therefore, the thermodynamic stability of high-k metal Fig. 58, the valence band offsets measured for x = 0.3, 0.5, and 0.7
oxide in contact with Si is a critical issue for the application of are 1.4, 2.3, and 2.9 eV, respectively. In addition, it was found that
alternative gate dielectrics in silicon-based devices [27,279]. Here, the presence of Hf–N bonds increases the conduction band offset
a dynamic process consisting of a series of reactions during from a value of 2.7 eV, which was obtained when only Hf–O bonds
deposition of HfO2 films on SiO2-covered silicon under oxygen- are present, to a value of 3.1 eV [75].
deficient conditions was identified. For the case of complete It is evident that extended defects in metal-oxides, such as grain
decomposition of SiO2, following chemical reaction was suggested. boundaries and dislocations, can affect the electronic properties of
these devices significantly [281]. For example, defects such as
2SiO2 þ Hf ! HfO2 þ 2SiO " DG ¼ 48ðkcal=molÞ oxygen vacancies participating in electron tunneling processes,
But as the deposition continues, it is decomposed when more may segregate with increased concentrations [282]. On the other
oxygen deficient HfOx<2 film is deposited onto the substrates [280] hand, charged defects and impurities may diffuse more readily
as: along extended defects in response to an electric field [283]. The
diffusion and segregation of oxygen vacancies near a grain
SiO2 þ HfO2 ! HfSiO4 boundary in m-HfO2 was investigated by first principles calcula-
tions. As shown in Fig. 59, the 4 Å wide region near the grain
boundary, where vacancy segregation is most favorable, is
indicated. The calculated segregation energies for the neutral
Hf þ HfSiO4 ! 2HfO2 þ Si
and positive vacancies shows that influence of the grain boundary
Thus, it is found that the oxygen deficient HfOx<2 absorbs the extends to about 5 Å on either side of the grain boundary plane,
oxygen in the SiO2 layer to form fully oxidized metal oxide. with the strongest segregation effects confined to a smaller region
Thermodynamic analysis indicates that even if there is a layer of about 4 Å wide [74].
silicate forming in the initial stage, it is decomposed as the HfOx<2
deposition progresses [279]. The complete understanding of 6.2. Scattering effect
composition variation at interface is necessary because it has a
significant effect on the electrical properties in MOSFET. As RCS between inversion layer electrons and charged defects
discussed earlier, the incorporation of Si or N in HfO2 to form fixed in the high-k film was shown to degrade the effective channel
HfxSi1xO2 and HfSiON has a significant effect on the resulting mobility in HfO2-based nMOSFETs at various temperatures from
attainable electrical properties, many affecting the devices’ long 120 to 320 K. It is shown that additional Coulomb scattering can be

Fig. 59. (a) Atomic structure near the (1 0 1) twin boundary in m-HfO2, Hf ions (large spheres) and O ions (small spheres). (b) Segregation energies, Es, for neutral (up) and
double positively charged oxygen vacancy(down) defects in the vicinity of the (1 0 1) twin boundary.Reprinted from [74].
J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136 131

Fig. 60. (a) Effective electron mobility, meff, the mobility limited by Coulomb scattering, mcoul, the mobility limited by phonon scattering, mph, and that limited by surface
roughness, msr, for a HfO2 sample and a SiO2 sample. (b) Mobility limited by phonon scattering, mph, for nMOSFET with HfO or SiO as gate dielectric at various effective electric
fields from 0.65 mV/cm to 0.85 MV/cm. (c) Scattering rate due to phonons for nMOSFET with HfO2 or SiO2 as gate dielectric, indicating additional scattering in the HfO2 sample
as compare to the SiO2. The difference between the two is attributed to scattering by soft optical phonons in HfO2.Reprinted from [285].

attributed to partial crystallization of the hafnium based dielectrics chemistries has been examined at extremely low ion energies
that degrade the electron mobility for nMOSFETs. This fact led to and increased substrate temperatures [290]. Specifically, etching
the study of suppressing crystallization as a key technique for of a metal oxide film results from the formation of an adsorbate of
obtaining the high mobility and low leakage currents in MOSFETs reactive species on the film surface and subsequent desorption due
[284,285]. However, it was found that, while significant Coulomb to ion bombardment or spontaneous thermal desorption due to ion
scattering does bring down the effective channel mobility mixing effects assisting in bond rearrangement and surface site
substantially, it cannot account for all of the mobility degradation creation. Chlorine-based chemistries are chosen to etch Hf-based
as compared to the universal mobility curve. A systematic study of materials because the volatility of HfCl4, while low, is the highest
the temperature dependence and field dependence of the effective among all Hf-halides. However, because of the strong M–O bonds,
mobility has led to the conclusion that an additional scattering most of reactions between HfO2 and Cl2 are endothermic. The
mechanism, which due to the soft optical phonons in the hafnium- reaction between HfO2 and BCl3, however, is exothermic, due to
based materials, may be responsible for the mobility degradation. the strong B–O bond and the formation of trichloro-boroxine
The scattering rate due to the soft optical phonons in hafnia has (BOCl)3 which has a large negative heat of formation. While the
been found to be weakly dependent on temperature, which is presence of B makes the reaction energetically favorable, it results
consistent with the simple theoretical calculation as shown in in a thick ‘polymerized’ overlayer in conditions where deposition is
Fig. 60 [285]. In addition, as shown in Fig. 60(b and c), the mobility prevalent (such as at low ion energies). This competition between
limited by phonon scattering for HfO2 is much lower than that for etching and deposition highlights the complexity in plasma-based
SiO for all temperatures we tested here, and the lower the nano-scale patterning processes.
temperature, the larger the degradation of mph for HfO2 as
compared to SiO2.
HfO2 þ 3Cl2 ! HfCl4 þ 2ClO DH ¼ 671:4ðkJ=molÞ
6.3. Nano-scaling patterning

The drive to further reduce CMOSFET device dimensions below HfO2 þ 2BCl3 ! HfCl4 þ 2=3ðBOClÞ3 DH ¼ 57:6ðkJ=molÞ
the 45 nm technology node has led to a dramatic increase in
pattern fidelity requirements, aspect ratios, and potential new Due to the very different surface chemistries as a result of the
material candidates involved in the gate stack patterning step of selected plasmas, the etch rate of Hf-based oxides in a non-
CMOS fabrication [286]. The introduction of new high-k and metal depositing plasma chemistry exhibits a dual dependence on ion
gate materials into CMOS has posed significant challenges on energy [291–293]. This dual dependence is directly correlated to
nano-scale patterning, which has conventionally being done by experimentally measured compositions of the etch products and
plasma etching and more recently being assessed by a comple- signifies the importance of ion-assisted removal of less-volatile
mentary site-selective ALD process. In this section, the challenges reaction products at high energies [294,295].
and advances by these two strategies are contrasted. A generalized rate model was formulated to describe etching of
Hf-based oxides in complex plasma chemistries based on a
6.3.1. Dry plasma etching detailed characterization of the etching plasma and an experi-
The introduction of Hf-based materials into CMOS starting at mental investigation into the etching mechanisms. The model was
the 45 nm technology nodes requires a potential change from wet developed from a surface site balance-based approach to
etching process to pattern SiO2 (SiON) by HF solutions to dry successfully incorporate the correct etch rate dependencies on
etching of the gate oxides in a plasma, as these materials are much neutral-to-ion flux ratio, ion energy, competing deposition and
more resistant to HF [287,288]. The increase in the complexity of etching reaction pathways, and film properties, as shown below:
materials employed in the high-k gate stack further complicates
Je2 Z es Z e p  Jd2 Z ds Z d p
the patterning step, as critical parameters such as etching Rt ¼ 1=2 1=2
selectivity to the gate material and substrate and plasma damage fJe Z e p þ ðJd2 Z ds Z d p =D p Þ þ ðJd Je Z ds Z e p =J i C p ðEion  Eth; p ÞÞ
1=2 1=2
must be accounted for with a wide variety of material combina- þJd Z ds þ ðJd J e Z ds Z e p =Ds Þ þ ðJe2 Z es Z e p =J i ½As ðEion  Eth;s Þ
tions [286,289]. 1=2
þBs ðEion 
1=2
Etr;s ÞÞg
The challenges for plasma etching of Hf-based materials include
the strong metal–oxygen bonds and the low volatility of metal- where J is the species fluxes, A, B, C are the volume removed due to
halides. To overcome these challenges and to minimize the damage ion bombardment per square root of the unit bombardment
to the underlying semiconductor, much more complex gas energy, D is the deposition rates, Eion is the ion energy, Eth is the
132 J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136

Fig. 61. Model fits to experimental data for (a) Cl2 and (b) BCl3 etching of Al2O3 (~), Hf0.56Al0.44Oy (^), and HfO2 (&) at 3 mTorr, 500 W as a function of ion energy.Reprinted
form [296].

etching threshold energy, Etr is the transition energy, and the limits of light and also the cost and slow progress of fabricating
subscripts e, d, s, p represents etching, deposition, substrate, and large areas of periodic nanopatterns. Among various fabrication
polymer, respectively. Finally, Z = nS is a lumped parameter that techniques, there has been a growing interest in fabricating
describes the volume removed/added and reactive sticking patterned thin films by site-selective deposition on patterned
probability. substrates as simple and low-cost fabrication processes. Nanoscale
The applicability of the model was assessed by fitting to templates, such as the anodic aluminum oxide membranes, self-
experimental etch rate data of Hf-based dielectrics in both Cl2 and assembled monolayers by polymer nanospheres, and block
BCl3 chemistries, as shown in Fig. 61. Initial values and appropriate copolymers, have been widely investigated to generate nanopat-
ranges for variation of the model parameters were determined by terns, but the feature sizes are usually larger than 20 nm. The used
plasma gas phase analysis as well as etch and deposition rates. deposition techniques include chemical vapor deposition, electro-
Through the model fitting to experimental etch rate data, plating, and electroless deposition [300].
physically meaningful parameter values were extracted. The On the other hand, there has been growing interest in obtaining
strategy used in formulating this model is likely applicable to micro- and nanoscale definition of the lateral structure by
other plasmas/materials combinations, making it a viable one in development of an area-selective ALD process in addition to
assessing the etching of other complex systems. control of the vertical dimension inherently afforded by ALD. Area-
Based on the results of the model fitting to experimental data, it selective ALD differs from conventional, subtractive lithographic
is understood that etching of metal oxides in chlorine based patterning; it is an additive process in which the deposition
plasmas is a complicated process because of the different process is controlled spatially using selective modification of the
mechanisms of product removal and the competition between growth substrate and material is deposited only where needed.
etching and deposition. The former led to the observed transition Because of this distinction, area-selective ALD promises to provide
energy in the dependence of the etch rate on the square root of ion a number of advantages, including reducing the number of etch
energy. The latter is based on the competition of etching and and lithography steps for systems utilizing multiple depositions,
depositing species to bind to available surface sites at energies eliminating the need to etch difficult materials (such as high-k gate
above the threshold while it is reduced to a competition between dielectrics), and minimizing the use of expensive reagents
plasma etching of the BClx polymer layer and a chemical vapor [241,242]. By utilizing the intrinsically selective absorption
deposition-like mechanism. A precise control of ion energies near behavior of self-assembled monolayers (SAMs), such as octade-
the etching/deposition threshold can lead to improved selectivities cyltrichlorosilane (ODTS), certain areas of a surface can be
between oxide and the surrounding materials, as exemplified by deactivated while leaving other areas covered with the proper
recent publications [291,292,297,298]. surface termination for ALD growth. For example, HfO2 thin film
Recently, the promise in combining dry etch (plasma) and wet was selectively deposited onto the hydride-terminated silicon
removal has been investigated [299]. The underlying principle is regions by ALD but not ODTS covered regions. The process has an
rather straightforward: use a reactive plasma to alter the Hf-based excellent area selectivity and forms HfO2 patterns with high spatial
materials by physical amorphorization or chemical modification, resolution (Fig. 62(left)) [301]. Recently, two-dimensional (2D)
making them more susceptible to wet removal. Because plasma is periodic oxide nanopatterns was achieved using S-layer proteins as
not directly used to pattern the Hf-based gate dielectric, plasma- a template as shown in Fig. 62(right) [302]. Specifically, S-layer
induced damage is minimized. proteins with a unit cell dimension of 20 nm were reassembled on
silicon substrate to form 2D arrays with ordered pores of nearly
6.3.2. Area-selective ALD identical sizes (9 nm). ODTS was utilized to selectively react with
Recently, two-dimensional (2D) periodic nanopatterns of the S-layer proteins, but not the Si surface exposed through the
functional materials have attracted intense attention because of pores defined by the proteins. Because of the different surface
their potential applications in high-density recording media, functional groups on the ODTS-modified S-layer proteins and Si
catalysis, sensors, optoelectronics, and electronics. In the electron- surface, area-selective atomic layer deposition of HfO2 in the pores
ic industry, one of the major driving forces has been its successful was achieved. The periodic metal oxide nanopatterns were
downscaling of the dimensions of MOSFETs. Conventional device generated on Si substrate after selective removal of the ODTS-
fabrication technologies, such as optical, X-ray, and electron-beam modified S-layer proteins. These nanopatterns of high-k materials
lithography, was limiting factors to produce finer features as the are expected to facilitate further downscaling of logic and memory
technology node approaches 20 nm considering the diffraction nanoelectronic devices.
J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136 133

Fig. 62. (left) AES analysis on patterned structure after the area-selective HfO2 ALD process: (a) SEM image of patterned area, (b) Hf elemental mapping by AES, (c) AES selected
area survey composition scan, and (d) AES defined line scan. Reprinted from [301]. (right) Schematic side view and top view diagrams, contact angle and height AFM images
illustrating the five steps for generating periodic high-k oxide nanopatterns on Si substrate by using a nanotemplate of S-layer proteins and an area-selective ALD process.
Reprinted from [302].

7. Concluding remarks and perspectives Acknowledgements

Introduction of novel materials is complicated by rigid J.P. Chang acknowledges the contributions from her students
requirements that they not only possess the requisite physical and post-doctoral researchers in this area over the last decade and
and electrical properties, but also be manufacturable, thermally financial support from various funding agencies, including William
stable during processing, and compatible with neighboring F. Seyer Chair, National Science Foundation, Office of Naval
materials. This report presented experimental data and theoreti- Research (a Young Investigator Award), Semiconductor Research
cal estimates using HfO2/Si as a base system, the interplay Corporation Focus Center Research Program (SRC FCRP), the Center
between these desired properties and the inherent material on Functional Engineered NanoArchitectonics (FENA), a UC
properties and process steps was established. This approach consortium IMPACT, UC Discovery Grant Program, Lam Research,
provided a guideline for designing improved MOSFET systems by Texas Instruments, LSI Logic, and Mattson Technology.
incorporating additional elements and refining processing
techniques. In addition, this report reviewed Hf-based high-k References
materials and the complexity related to their integration in
[1] G.D. Wilk, R.M. Wallace, J.M. Anthony, J. Appl. Phys. 89 (2001) 5243.
MOSFET device, highlighting the convoluted interaction between [2] S.O. Kasap, S.O. Kasap, Principles of Electronic Materials and Devices, McGraw-Hill,
processing and resulting structures/compositions and the Boston, 2002.
electrical/device performance. Specifically, the complex and [3] A. Kawamoto, K.J. Cho, R. Dutton, J. Comput. Aided Mater. Des. 8 (2001) 39.
[4] E. Gerritsen, N. Emonet, C. Caillat, N. Jourdan, M. Piazza, D. Fraboulet, B. Boeck, A.
numerous deposition steps can lead to thickness variations, Berthelot, S. Smith, P. Mazoyer, Solid-State Electron. 49 (2005) 1767.
chemical composition deviations from the ideal stoichiometry, [5] C. Kwon, Q.X. Jia, Y. Fan, M.F. Hundley, D.W. Reagor, J. Appl. Phys. 83 (1998) 7052.
interfacial layer with roughness, and different degrees of [6] F. Amy, A.S. Wan, A. Kahn, F.J. Walker, R.A. McKee, J. Appl. Phys. 96 (2004) 1635.
[7] J. Robertson, Solid-State Electron. 49 (2005) 283.
crystallinity with various grain sizes and phases. As these
[8] H.T. Johnson-Steigelman, A.V. Brinck, J.P. Chang, P.F. Lyman, J. Vac. Sci. Technol. A
defects all had direct impact on the electrical properties of 24 (2006) 1218.
MOSFETs, this dictated that morphology, crystal structure, and [9] K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom, M. Bost, M. Brazier, M. Buehler,
chemical composition of the film and of its interfaces be A. Cappellani, R. Chau, C.H. Choi, G. Ding, K. Fischer, T. Ghani, R. Grover, W. Han, D.
Hanken, M. Hattendorf, J. He, J. Hicks, R. Heussner, D. Ingerly, P. Jain, R. James, L.
monitored/probed at the same scale as the electronic properties Jong, S. Joshi, C. Kenyon, K. Kuhn, K. Lee, H. Liu, J. Maiz, B. McIntyre, P. Moon, J.
to establish a direct correlation. The strategy discussed in this Neirynck, S. Pae, C. Parker, D. Parsons, C. Prasad, L. Pipes, M. Prince, P. Ranade, T.
report for optimizing the gate dielectric and its interfaces with Reynolds, J. Sandford, L. Shifren, J. Sebastian, J. Seiple, D. Simon, S. Sivakumar, P.
Smith, C. Thomas, T. Troeger, P. Vandervoorn, S. Williams, K. Zawadzki, IEEE IEDM
the gate and the substrate had the promise of extending the 2007 Proceedings, 2007, p. 247.
scalability of MOSFET devices. It shown the challenges of [10] M.S. Kim, Y.D. Ko, M. Yun, J.H. Hong, M.C. Jeong, J.M. Myoung, I. Yun, Mater. Sci.
engineering functionally tailored materials for specific applica- Eng. B 123 (2005) 20.
[11] S. Consiglio, F. Papadatos, S. Naczas, S. Skordas, E.T. Eisenbraun, A.E. Kaloyeros, J.
tions and the opportunities of developing complex materials that Electrochem. Soc. 153 (2006) F249.
offer combined and enhanced properties compared to their [12] Y.C. Quan, J.E. Lee, H. Kang, Y. Roh, D. Jung, C.W. Yang, Jpn. J. Appl. Phys. 1 41
constituents. It also suggested prospects in the future such as the (2002) 6904.
[13] J.X. Zheng, G. Ceder, T. Maxisch, W.K. Chim, W.K. Choi, Phys. Rev. B 75 (2007) 104112.
use of combinatorial materials synthesis to allow for the creation
[14] C.J. Forst, K. Schwarz, P.E. Blochl, Phys. Rev. Lett. 95 (2005) 137602.
of ‘‘library’’ samples that contain all composition variations in a [15] R. Puthenkovilakam, J.P. Chang, J. Appl. Phys. 96 (2004) 2701.
given compound, such as quaternary oxides. Combinatorial [16] A. Ohta, H. Nakagawa, H. Murakami, S. Higashi, T. Kawahara, K. Torii, S. Miyazaki,
Jpn. J. Appl. Phys. 1 43 (2004) 7831.
materials synthesis and combinatorial friendly metrologies can
[17] G. Seguini, M. Perego, S. Spiga, M. Fanciulli, A. Dimoulas, Appl. Phys. Lett. 91
also provide an efficient means for the screening and optimiza- (2007) 192902.
tion of complex materials systems. The availability of such [18] V.V. Afanas’ev, A. Stesmans, F. Chen, M. Li, S.A. Campbell, J. Appl. Phys. 95 (2004)
methods would enable faster materials selection, and conse- 7936.
[19] C.M. Tanner, J. Choi, J.P. Chang, J. Appl. Phys. 101 (2007) 034108.
quently more rapid introduction of devices containing the new [20] P.T. Chen, Y. Sun, E. Kim, P.C. McIntyre, W. Tsai, M. Garner, P. Pianetta, Y. Nishi, C.O.
materials into commerce. Chui, J. Appl. Phys. 103 (2008) 034106.
134 J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136

[21] G. Seguini, S. Spiga, E. Bonera, M. Fanciulli, A.R. Huamantinco, C.J. Forst, C.R. [84] T. Nishide, S. Honda, M. Matsuura, M. Ide, Thin Solid Films 371 (2000) 61.
Ashman, P.E. Blochl, A. Dimoulas, G. Mavrou, Appl. Phys. Lett. 88 (2006) 202903. [85] M. Villanueva-Ibanez, C. Le Luyer, O. Marty, J. Mugnier, Opt. Mater. 24 (2003) 51.
[22] M. Kobayashi, P.T. Chen, Y. Sun, N. Goel, P. Majhi, M. Garner, W. Tsai, P. Pianetta, Y. [86] M.G. Blanchin, B. Canut, Y. Lambert, V.S. Teodorescu, A. Barau, M. Zaharescu, J.
Nishi, Appl. Phys. Lett. 93 (2008) 182103. Sol–Gel Sci. Technol. 47 (2008) 165.
[23] V.V. Afanas’ev, A. Stesmans, Appl. Phys. Lett. 84 (2004) 2319. [87] Y. Aoki, T. Kunitake, A. Nakao, Chem. Mater. 17 (2005) 450.
[24] T. O’Regan, M. Fischetti, J. Comput. Electron. 6 (2007) 81. [88] J.D. Wright, N.A.J.M. Sommerdijk, Sol–Gel Materials Chemistry and Applications,
[25] R.K. Nahar, V. Singh, A. Sharma, J. Mater. Sci.: Mater. Electron. 18 (2007) 615. Gordon and Breach Science Publisher, Netherlands, 2001.
[26] G.D. Wilk, R.M. Wallace, J.M. Anthony, J. Appl. Phys. 87 (2000) 484. [89] Z.J. Wang, T. Kumagai, H. Kokawaa, J. Tsuaur, M. Ichiki, R. Maeda, J. Cryst. Growth
[27] K.J. Hubbard, D.G. Schlom, J. Mater. Res. 11 (1996) 2757. 281 (2005) 452.
[28] J. McPherson, J.Y. Kim, A. Shanware, H. Mogul, Appl. Phys. Lett. 82 (2003) 2121. [90] Z.J. Wang, T. Kumagai, H. Kokawa, M. Ichiki, R. Maeda, J. Electroceram. 21 (2008)
[29] H. Takahashi, S. Toyoda, J. Okabayashi, H. Kumigashira, M. Oshima, Y. Sugita, G.L. 499.
Liu, Z. Liu, K. Usuda, Appl. Phys. Lett. 87 (2005) 012903. [91] O. Acton, G. Ting, H. Ma, J.W. Ka, H.L. Yip, N.M. Tucker, A.K.Y. Jen, Adv. Mater. 20
[30] D.K. Smith, C.F. Cline, J. Am. Ceram. Soc. 45 (1962) 249. (2008) 3697.
[31] C.T. Lynch, F.W. Vahldiek, L.B. Robinson, J. Am. Ceram. Soc. 44 (1961) 147. [92] G. He, M. Liu, L.Q. Zhu, M. Chang, Q. Fang, L.D. Zhang, Surf. Sci. 576 (2005) 67.
[32] S.S. Park, J.S. Bae, S. Park, J. Phys.: Condens. Matter 22 (2010) 015002. [93] L. Pereira, P. Barquinha, E. Fortunato, R. Martins, Mater. Sci. Eng. B 118 (2005) 210.
[33] M. Kadoshima, M. Hiratani, Y. Shimamoto, K. Torii, H. Miki, S. Kimura, T. Naba- [94] R.K. Nahar, A. Sharma, Microelectron. Int. 24 (2007) 46.
tame, Thin Solid Films 424 (2003) 224. [95] A. Callegari, E. Cartier, M. Gribelyuk, H.F. Okorn-Schmidt, T. Zabel, J. Appl. Phys. 90
[34] R. Ruh, V.A. Patel, J. Am. Ceram. Soc. 56 (1973) 606. (2001) 6466.
[35] G.L. Humphrey, J. Am. Chem. Soc. 73 (1951) 1587. [96] H. Hu, C.X. Zhu, Y.F. Lu, Y.H. Wu, T. Liew, M.F. Li, B.J. Cho, W.K. Choi, N. Yakovlev, J.
[36] J. Adam, M.D. Rogers, Acta Crystallogr. 12 (1959) 951. Appl. Phys. 94 (2003) 551.
[37] L.A. McClaine, C.P. Coppel, J. Electrochem. Soc. 113 (1966) 80. [97] P.F. Lee, J.Y. Dai, H.L.W. Chan, C.L. Choy, Ceram. Int. 30 (2004) 1267.
[38] P. Kofstad, D.J. Ruzicka, J. Electrochem. Soc. 110 (1963) 181. [98] M.A. Sahiner, J.C. Woicik, P. Gao, P. McKeown, M.C. Croft, M. Gartman, B. Benapfla,
[39] M. Copel, M. Gribelyuk, E. Gusev, Appl. Phys. Lett. 76 (2000) 436. Thin Solid Films 515 (2007) 6548.
[40] C.R.A. Catlow, in: O.T. Soresen (Ed.), Nonstoichiometric Oxides, Academic, New [99] H. Wang, Y. Wang, J. Feng, C. Ye, B.Y. Wang, H.B. Wang, Q. Li, Y. Jiang, A.P. Huang,
York, 1981, pp. 61–98. Z.S. Xiao, Appl. Phys. A 93 (2008) 681.
[41] R. Ruh, H.J. Garrett, J. Am. Ceram. Soc. 50 (1967) 257. [100] S.S. Hullavarad, D.E. Pugel, E.B. Jones, R.D. Vispute, T. Venkatesan, J. Electron.
[42] S.A. Campbell, D.C. Gilmer, X.C. Wang, M.T. Hsieh, H.S. Kim, W.L. Gladfelter, J.H. Mater. 36 (2007) 648.
Yan, IEEE Trans. Electron Devices 44 (1997) 104. [101] Z.J. Yan, R. Xu, Y.Y. Wang, S. Chen, Y.L. Fan, Z.M. Jiang, Appl. Phys. Lett. 85 (2004) 85.
[43] R.C. Smith, T.Z. Ma, N. Hoilien, L.Y. Tsung, M.J. Bevan, L. Colombo, J. Roberts, S.A. [102] W.C. Lee, Y.J. Lee, Y.D. Wu, P. Chang, Y.L. Huang, Y.L. Hsu, J.P. Mannaerts, R.L. Lo,
Campbell, W.L. Gladfelter, Adv. Mater. Opt. Electron. 10 (2000) 105. F.R. Chen, S. Maikap, L.S. Lee, W.Y. Hsieh, M.J. Tsai, S.Y. Lin, T. Gustffson, M. Hong,
[44] M.K. Bera, C. Mahata, C.X. Maiti, Thin Solid Films 517 (2008) 27. J. Kwo, J. Cryst. Growth 278 (2005) 619.
[45] G.K.L. Goh, C.P.K. Liew, J. Kim, T.J. White, J. Cryst. Growth 291 (2006) 94. [103] T.H. Moon, J.M. Myoung, Appl. Surf. Sci. 240 (2005) 197.
[46] K. Yokota, Y. Yano, K. Nakamura, M. Ohnishi, F. Miyashita, Nucl. Instrum. Methods [104] A.C. Jones, P.R. Chalker, J. Phys. D: Appl. Phys. 36 (2003) R80.
Phys. Res. B 242 (2006) 393. [105] S.V. Elshocht, M. Baklanov, B. Brijs, R. Carter, M. Caymax, L. Carbonell, M. Claes, T.
[47] K.N. Rao, S. Mohan, J. Vac. Sci. Technol. A 8 (1990) 3260. Conard, V. Cosnier, L. Date, S.D. Gendt, J. Kluth, D. Pique, O. Richard, D. Van-
[48] C.C. Ting, S.Y. Chen, D.M. Liu, J. Appl. Phys. 88 (2000) 4628. haeren, G. Vereecke, T. Witters, C. Zhao, M. Heyns, J. Electrochem. Soc. 151
[49] S. Desgreniers, K. Lagarec, Phys. Rev. B 59 (1999) 8467. (2004) F228.
[50] J.E. Lowther, J.K. Dewhurst, J.M. Leger, J. Haines, Phys. Rev. B 60 (1999) 14485. [106] A. Baunemann, R. Thomas, R. Becker, M. Winter, R.A. Fischer, P. Ehrhart, R. Waser,
[51] M.A. Caravaca, R.A. Casali, J. Phys.: Condens. Matter 17 (2005) 5795. A. Devi, Chem. Commun. (2004) 1610.
[52] J.D. McCullough, K.N. Trueblood, Acta Crystallogr. 12 (1959) 507. [107] A. Milanov, R. Thomas, M. Hellwig, K. Merz, H.-W. Becker, P. Ehrhart, R.A. Fischer,
[53] R. Ruh, P.W.R. Corfield, J. Am. Ceram. Soc. 53 (1970) 126. R. Waser, A. Devi, Surf. Coat. Technol. 201 (2007) 9109.
[54] L.G. Liu, J. Phys. Chem. Solids 41 (1980) 331. [108] A. Milanov, R. Bhakta, A. Baunemann, H.-W. Becker, R. Thomas, P. Ehrhart, M.
[55] A. Jayaraman, S.Y. Wang, S.K. Sharma, L.C. Ming, Phys. Rev. B 48 (1993) 9205. Winter, A. Devi, Inorg. Chem. 45 (2006) 11008.
[56] J.E. Jaffe, R.A. Bachorz, M. Gutowski, Phys. Rev. B 72 (2005) 144107. [109] Y.F. Loo, R. O’Kane, A.C. Jones, H.C. Aspinall, R.J. Potter, P.R. Chlker, J.F. Bickley, S.
[57] M.C. Cheynet, S. Pokrant, F.D. Tichelaar, J.-L. Rouviere, J. Appl. Phys. 101 (2007) Taylor, L.M. Smith, Chem. Vap. Deposition 11 (2005) 299.
054101. [110] R. Thomas, E. Rije, P. Ehrhart, A. Milanov, R. Bhakta, A. Bauneman, A. Devi, R.
[58] R.R. Manory, T. Mori, I. Shimizu, S. Miyake, G. Kimmel, J. Vac. Sci. Technol. A 20 Fischer, R. Waser, J. Electrochem. Soc. 154 (2007) G77.
(2002) 549. [111] K. Black, H.C. Aspinall, A.C. Jones, K. Przybylak, J. Bacsa, P.R. Chalker, S. Taylor, C.Z.
[59] H. Kim, P. McIntyre, K.C. Saraswat, Appl. Phys. Lett. 82 (2003) 106. Zhao, S.D. Elliott, A. Zydord, P.N. Heys, J. Mater. Chem. 18 (2008) 4561.
[60] M.L. Green, M.Y. Ho, B. Busch, G.D. Wilk, T. Sorsch, T. Conard, B. Brijs, W. [112] P.A. Williams, A.C. Jones, N.L. Tobin, P.R. Chalker, S. Taylor, P.A. Marshall, J.F.
Vandervorst, P.I. Raisanen, D. Muller, M. Bude, J. Grazul, J. Appl. Phys. 92 Bickley, L.M. Smith, H.O. Davies, G.W. Critchlow, Chem. Vap. Deposition 9 (2003)
(2002) 7168. 309.
[61] J. Aarik, A. Aidla, A.A. Kiisler, T. Uustare, V. Sammelselg, Thin Solid Films 340 [113] Y. Ohshita, A. Ogura, A. Hoshino, S. Hiiro, T. Suzuki, H. Machida, Thin Solid Films
(1999) 110. 406 (2002) 215.
[62] M. Ritala, M. Leskela, L. Niinisto, T. Prohaska, G. Friedbacher, M. Grasserbauer, [114] Y. Ohshita, A. Ogura, A. Hoshino, S. Hiiro, H. Machida, J. Cryst. Growth 233 (2001)
Thin Solid Films 250 (1994) 72. 292.
[63] K. Kukli, J. Ihanus, M. Ritala, M. Leskela, Appl. Phys. Lett. 68 (1996) 3737. [115] N.J. Seong, W.J. Lee, S.G. Yoon, J. Vac. Sci. Technol. B 24 (2006) 312.
[64] J. Aarik, A. Aidla, H. Mandar, T. Uustare, K. Kukli, M. Schuisky, Appl. Surf. Sci. 173 [116] S. Hino, M. Nakayama, K. Takahashi, H. Funakubo, E. Tokumitsu, Jpn. J. Appl.
(2001) 15. Phys. 1 42 (2003) 6015.
[65] S. Capone, G. Leo, R. Rella, P. Sicilliano, L. Vasanelli, M. Alvisi, L. Mirenghi, A. Rizzo, [117] P. Phatak, I. Hashim, S. Malhotra, S. Shanker, W. French, H. Chen, P. Kumar, E.
J. Vac. Sci. Technol. A 16 (1998) 3564. Haywood, R. Endo, T. Chiang, Solid State Technol. 53 (2010).
[66] J. Wang, R.L. Maier, H. Schreiber, Appl. Opt. 47 (2008) C189. [118] S. Nafis, J. Owyang, S. Chatterji, Solid State Technol. (2006).
[67] M. Shandalov, P.C. McIntyre, J. Appl. Phys. 106 (2009) 084322. [119] C.L. Hsueh, A. Bavin, J. Monkowski, C. Borowski, Solid State Technol. (2010).
[68] N. Izyumskaya, Y. Alivov, H. Morkoc, Crit. Rev. Solid State Mater. Sci. 34 (2009) 89. [120] R. Chau, S. Datta, M. Doczy, B. Doyle, J. Kavalieros, M. Metz, IEEE Electron Device
[69] Y.B. Losovyj, J. Tang, W. Wang, Y. Hong, V. Palshin, R. Tittsworth, Phys. Lett. A 357 Lett. 25 (2004) 408.
(2006) 240. [121] K.E. Elers, V. Saanila, P.J. Soininen, W.M. Li, J.T. Kostamo, S. Haukka, J. Juhanoja,
[70] T.V. Perevalov, V.A. Gritsenko, S.B. Erenburg, A.M. Badalyan, H. Wong, C.W. Kim, J. W.F.A. Besling, Chem. Vap. Deposition 8 (2002) 149.
Appl. Phys. 101 (2007) 053704. [122] www.cambridgenanotech.com/klc/aldapps.in.
[71] K. Xiong, J. Robertson, M.C. Gibson, S.J. Clark, Appl. Phys. Lett. 87 (2005) 183505. [123] L. Niinisto, J. Paivasaari, J. Niinisto, M. Putkonen, M. Nieminen, Phys. Status Solidi
[72] H. Takeuchi, D. Ha, T.J. King, J. Vac. Sci. Technol. A 22 (2004) 1337. 201 (2004) 1443.
[73] D.Y. Cho, J.M. Lee, S.J. Oh, H. Jang, J.Y. Kim, J.H. Park, A. Tanaka, Phys. Rev. B 76 [124] M. Vehkamaki, T. Hatanpaa, T. Hanninen, M. Ritala, M. Leskela, Electrochem.
(2007) 165411. Solid State Lett. 2 (1999) 504.
[74] K. McKenna, A. Shluger, Appl. Phys. Lett. 95 (2009) 222111. [125] Y. Won, S. Park, J. Koo, S. Kim, J. Kim, H. Jeon, Appl. Phys. Lett. 87 (2005) 262901.
[75] I. Geppert, E. Lipp, R. Brener, S. Hung, M. Eizenberg, J. Appl. Phys. 107 (2010) [126] J.C. Kim, J.S. Heo, Y.S. Cho, S.H. Moon, Thin Solid Films 517 (2009) 5695.
053701. [127] D. Triyoso, R. Liu, D. Roan, M. Ramon, N.V. Edwards, R. Gregory, D. Werho, J. Kulik,
[76] L. Xie, Y. Zhao, M.H. White, Solid State Electron. 48 (2004) 2071. G. Tam, E. Irwin, X.D. Wang, L.B. La, C. Hobbs, R. Garcia, J. Baker, J.B.E. White, P.
[77] S. Toyoda, J. Okabayashi, H. Kumigashira, M. Oshima, K. Ono, M. Niwa, K. Usuda, N. Tobina, J. Electrochem. Soc. 151 (2004) F220.
Hirashita, J. Electron. Spectrosc. Relat. Phenom. 137 (2004) 141. [128] J. Chang, in: H.R. Huff (Ed.), High Dielectric Constant Materials, Springer, Berlin,
[78] Y.B. Zheng, S.J. Wang, C.H.A. Huan, Thin Solid Films 504 (2006) 197. 2005, pp. 379–414.
[79] S. Chatterjee, Y. Kuo, J. Lu, J.Y. Tewg, P. Majhi, Microelectron. Reliab. 46 (2006) 69. [129] B.S. Lim, A. Rahtu, R.G. Gordon, Nat. Mater. 2 (2003) 749.
[80] Y.H. Kim, K. Onishi, C.S. Kang, H.J. Cho, R. Nieh, S. Gopalan, R. Choi, J. Han, S. [130] S.J. Park, W.H. Kim, H.B.R. Lee, W.J. Maeng, H. Kim, Microelectron. Eng. 85 (2008)
Krishnan, J.C. Lee, IEEE Electron Device Lett. 23 (2002) 594. 39.
[81] Y.H. Kim, K. Onishi, C.S. Kang, R. Choi, H.J. Cho, R. Nieh, J. Han, S. Krishnan, A. [131] M. Seo, S.K. Kim, K.M. Kim, T.J. Park, J.H. Kim, C.S. Hwang, H.J. Cho, ECS Trans. 1
Shahriar, J.C. Lee, IEDM (2003) 629. (2006) 211.
[82] J.L. Le, Z.P. Bazant, M.Z. Bazant, J. Appl. Phys. 106 (2009) 104119. [132] A.B. Mukhopadhyay, J.F. Sanz, C.B. Musgrave, Chem. Mater. 18 (2006) 3397.
[83] X. Zhao, D. Vanderbilt, Phys. Rev. B 56 (2002) 233106. [133] Y. Widjaja, C.B. Musgrave, J. Chem. Phys. 117 (2002) 1931.
J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136 135

[134] A.B. Mukhopadhyay, J.F. Sanz, C.B. Musgrave, Phys. Rev. B 73 (2006) 115330. [195] F. Wei, H. Tu, Y. Wang, S. Yue, J. Du, Appl. Phys. Lett. 92 (2008) 012901.
[135] C. Mui, C.B. Musgrave, J. Phys. Chem. B 108 (2004) 15150. [196] G. Vellianitis, G. Apostolopoulos, G. Mavrou, K. Argyropoulos, A. Dimoulas, J.C.
[136] S.X. Lao, R.M. Martin, J.P. Chang, J. Vac. Sci. Technol. A 23 (2005) 488. Hooker, T. Conard, M. Butcher, Mater. Sci. Eng. B 109 (2004) 85.
[137] H. Iwai, S. Ohmi, Microelectron. Reliab. 42 (2002) 1251. [197] C.H. Cheng, H.C. Pan, C.N. Hsiao, C.P. Chou, S.P. McAlister, A. Chin, IEEE Electron
[138] O. Engstrom, B. Raeissi, S. Hall, O. Buiu, M.C. Lemme, H.D.B. Gottlob, P.K. Hurley, Device Lett. 28 (2007) 1095.
K. Cherkaoui, Solid-State Electron. 51 (2007) 622. [198] C.H. Wu, B.F. Hung, A. Chin, S.J. Wang, X.P. Wang, M.F. Li, C. Zhu, Y. Jin, H.J. Tao,
[139] N.W. Grimes, R.W. Grimes, J. Phys.: Condens. Matter 10 (1998) 3029. S.C. Chen, M.S. Liang, IEDM Tech. Dig. (2006) 617.
[140] B. Massalski, Binary Alloy Phase Diagrams, ASM International, Materials Park, [199] X.P. Wang, H.Y. Yu, M.F. Li, C.X. Zhu, S. Biesemans, A. Chin, Y.Y. Sun, Y.P. Feng, A.
OH, 1990. Lim, Y.C. Yeo, W.Y. Loh, G.Q. Lo, D.L. Kwong, IEEE Electron Device Lett. 28 (2007)
[141] B. Hallstedt, Calphad 16 (1992) 53. 258.
[142] J.C. Zhao, B.P. Bewlay, M.R. Jackson, Q. Chen, J. Phase Equilib. 21 (2000) 40. [200] X.R. Cheng, Z.M. Qi, G.B. Zhang, Y.H. Chen, T.T. Li, G.Q. Pan, M. Yin, Appl. Surf. Sci.
[143] Vn Parfenen, Rg Grebensh, N.A. Toropov, Dokl. Akad. Nauk SSSR 185 (1969) 840. 256 (2009) 838.
[144] D. Shin, R. Arróyavea, Z.K. Liua, Calphad 30 (2006) 375. [201] F. Wei, H. Tu, Y. Wang, S. Yue, J. Du, J. Cryst. Growth 310 (2008) 4065.
[145] C. Wolverton, X.Y. Yan, R. Vijayaraghavan, V. Ozolins, Acta Mater. 50 (2002) [202] W.S. Kim, T.S. Kim, B.W. Kang, M.G. Ko, S.K. Park, J.W. Park, J. Vac. Sci. Technol. B
2187. 26 (2008) 1588.
[146] D. Fischer, A. Kersch, J. Appl. Phys. 104 (2008) 084104. [203] M.S. Akbar, H.J. Cho, R. Choi, C.S. Kang, C.Y. Kang, C.H. Choi, S.J. Rhee, Y.H. Kim, J.C.
[147] A. Kuwabara, T. Tohei, T. Yamamoto, I. Tanaka, Phys. Rev. B 71 (2005) 064301. Lee, IEEE Electron Device Lett. 25 (2004) 465.
[148] C. Lee, X. Gonze, Phys. Rev. B 51 (1995) 8610. [204] P.D. Kirsch, C.S. Kang, J. Lozano, J.C. Lee, J.G. Ekerdt, J. Appl. Phys. 91 (2002) 4353.
[149] S. Stemmer, Y.L. Li, B. Foran, P.S. Lysaght, S.K. Streiffer, P. Fuoss, S. Seifert, Appl. [205] D.H. Triyoso, R.I. Hegde, J. Grant, P. Fejes, R. Liu, D. Roan, M. Ramon, D. Werho, R.
Phys. Lett. 83 (2003) 3141. Rai, L.B. La, J. Baker, C. Garza, T. Guenther, B.E. White, P.J. Tobin, J. Vac. Sci.
[150] C.J. Forst, C.R. Ashman, K. Schwarz, P.E. Blochl, Nature 427 (2004) 53. Technol. B 22 (2004) 2121.
[151] P.W. Peacock, J. Robertson, Phys. Rev. Lett. 92 (2004) 057601. [206] C.L. Cheng, K.S. Chang-Liao, T.K. Wang, Solid-State Electron. 50 (2006) 103.
[152] R. Puthenkovilakam, E.A. Carter, J.P. Chang, Phys. Rev. B 69 (2004) 155329. [207] C.C. Cheng, C.H. Chien, C.W. Chen, S.L. Hsu, M.Y. Yang, C.C. Huang, Microelectron.
[153] V. Fiorentini, G. Gulleri, Phys. Rev. Lett. 89 (2002) 266101. Eng. 80 (2005) 30.
[154] J.L. Gavartin, A.L. Shluger, Microelectron. Eng. 84 (2007) 2412. [208] C.S. Kang, H.J. Cho, R. Choi, Y.H. Kim, C.Y. Kang, S.J. Rhee, C.W. Choi, M.S. Akbar,
[155] W.L. Scopel, A.J.R. da Silva, W. Orellana, A. Fazzio, Appl. Phys. Lett. 84 (2004) 1492. J.C. Lee, IEEE Trans. Electron Devices 51 (2004) 220.
[156] H. Wu, Y. Zhao, M.H. White, Solid-State Electron. 50 (2006) 1164. [209] C.H. Choi, T.S. Jeon, R. Clark, D.L. Kwong, IEEE Electron Device Lett. 24 (2003) 215.
[157] J. Robertson, Eur. Phys. J. Appl. Phys. 28 (2004) 265. [210] J.H. Park, J.S. Hyun, B.C. Kang, J.H. Boo, Surf. Coat. Technol. 201 (2007) 5336.
[158] S. Saito, K. Torii, Y. Shimamoto, O. Tonomura, D. Hisamoto, T. Onai, M. Hiratani, S. [211] Y. Wang, J. Zhang, F. Zhang, F. Zhang, S. Zou, Appl. Surf. Sci. 242 (2005) 407.
Kimura, Y. Manabe, M. Caymax, J.W. Maes, J. Appl. Phys. 98 (2005) 113706. [212] S. Venkataraj, D. Severin, S.H. Mohamed, J. Ngaruiya, O. Kappertz, M. Wuttig,
[159] H.C. Wen, P. Lysaght, H.N. Alshareef, C. Huffman, H.R. Harris, K. Choi, Y. Senzaki, Thin Solid Films 502 (2006) 228.
H. Luan, P. Majhi, B.H. Lee, M.J. Campin, B. Foran, G.D. Lian, D.L. Kwong, J. Appl. [213] K.Y. Tong, E.V. Jelenkovic, W. Liu, J.Y. Dai, Microelectron. Eng. 83 (2006) 293.
Phys. 98 (2005) 043520. [214] J.H. Park, J.S. Hyun, B.C. Kang, J.H. Boo, Surf. Coat. Technol. 201 (2007) 5336–
[160] Y.C. Yeo, Thin Solid Films 462 (2004) 34. 5339.
[161] J. Robertson, O. Sharia, A.A. Demkov, Appl. Phys. Lett. 91 (2007) 132912. [215] T. Ino, Y. Kamimuta, M. Suzuki, M. Koyama, A. Nishiyama, Jpn. J. Appl. Phys. 1 45
[162] A.A. Demkov, Phys. Rev. B 74 (2006) 085310. (2006) 2908.
[163] S. Guha, V. Narayanan, Phys. Rev. Lett. 98 (2007) 196101. [216] C.S. Kang, H.J. Onishi, R. Choi, Y.H. Kim, R. Nieh, J. Han, S. Krishnan, A. Shahriar, J.C.
[164] R.P. Pezzi, M. Copel, M. Gordon, E. Cartier, I.J.R. Baumvol, Appl. Phys. Lett. 88 Lee, Tech. Dig. Int. Electron Devices Meet., 2002, p. 865.
(2006) 243509. [217] P. Majhi, H.C. Wen, K. Choi, H. Alshareef, C. Huffman, B.H. Lee, VLSI Symp. Tech.
[165] J. Robertson, B. Falabretti, Mater. Sci. Eng. B: Solid State Mater. Adv. Technol. 135 Dig., 2005, p. 105.
(2006) 267. [218] S. Shinkai, K. Sasaki, Jpn. J. Appl. Phys. 38 (1999) 2097.
[166] X.B. Lu, Z.G. Liu, X. Zhang, R. Huang, H.W. Zhou, X.P. Wang, B.Y. Nguyen, J. Phys. [219] H.S. Seo, T.Y. Lee, I. Petrov, J.E. Greene, D. Gall, J. Appl. Phys. 97 (2005) 083521.
D: Appl. Phys. 36 (2003) 3047. [220] H.S. Seo, T.Y. Lee, J.G. Wen, I. Petrov, J.E. Greene, D. Gall, J. Appl. Phys. 96 (2004)
[167] L. Li, Z.J. Tang, W.Y. Sun, P.L. Wang, J. Mater. Sci. Technol. 15 (1999) 439. 878.
[168] S. Guha, N.A. Bojarczuk, V. Narayanan, Appl. Phys. Lett. 80 (2002) 766. [221] L. Yuan, G. Fang, C. Li, M. Wang, N. Liu, L. Ai, Y. Cheng, H. Gao, X. Zhao, Appl. Surf.
[169] I.Y.K. Chang, S.W. You, M.G. Chen, P.C. Juan, C.H. Chen, J.Y.M. Lee, J. Appl. Phys. Sci. 253 (2007) 8538.
105 (2009) 104512. [222] H.Y. Yu, H.F. Lim, J.H. Chen, M.F. Li, C.X. Zhu, C.H. Tung, A.Y. Du, W.D. Wang, D.Z.
[170] M. Suzuki, T. Yamaguchi, N. Fukushima, M. Koyama, J. Appl. Phys. 103 (2008) Chi, D.L. Kwong, IEEE Electron Device Lett. 24 (2003) 230.
034118. [223] H.Y. Yu, M.F. Li, D.L. Kwong, IEEE Trans. Electron Devices 51 (2004) 609.
[171] Y.M. Cai, R. Huang, X.N. Shan, Y. Li, F.L. Zhou, Y.Y. Wang, Semicond. Sci. Technol. [224] N.J. Ashley, D. Parfitt, A. Chroneos, R.W. Grimes, J. Appl. Phys. 106 (2009) 083502.
21 (2006) 507. [225] H.N. Alshareef, H.C. Wen, H.F. Luan, K. Choi, H.R. Harris, Y. Senzaki, P. Majhi, B.H.
[172] J. Liu, R.M. Martin, J.P. Chang, J. Vac. Sci. Technol. A 26 (2008) 1251. Lee, B. Foran, G. Lian, Thin Solid Films 515 (2006) 1294.
[173] J. Choi, R. Puthenkovilakam, J.P. Chang, J. Appl. Phys. 99 (2006) 053705. [226] M. Sawkar-Mathur, J.P. Chang, J. Appl. Phys. 104 (2008) 084101.
[174] H.Y. Yu, M.F. Li, D.L. Kwong, Thin Solid Films 462 (2004) 110. [227] V. Misra, H.C. Zhong, H. Lazar, IEEE Electron Device Lett. 23 (2002) 354.
[175] J. Kim, K. Yong, J. Non-Cryst. Solids 353 (2007) 1172. [228] C. Mitterer, J. Solid State Chem. 133 (1997) 279.
[176] J.P. Maria, D. Wickaksana, J. Parrette, A.I. Kingon, J. Mater. Res. 17 (2002) 1571. [229] J.A. Jensen, J.E. Gozum, D.M. Pollina, G.S. Girolami, J. Am. Chem. Soc. 110 (1988)
[177] S. Duenas, H. Castan, J. Barbolla, K. Kukil, M. Ritala, M. Lesela, Solid-State 1643.
Electron. 47 (2003) 1623. [230] W. Zagozdzon-Wosik, et al. Rev. Adv. Mater. Sci. 8 (2004) 185.
[178] D.A. Neumayer, E. Cartier, J. Appl. Phys. 90 (2001) 1801. [231] R. Ranjit, W. Zagozdzon-Wosik, I. Rusakova, P.v.d. Heide, Z.H. Zhang, J. Bennett,
[179] A.R. Phani, M. Passacantando, S. Santucci, J. Non-Cryst. Solids 353 (2007) 663. D. Marton, Rev. Adv. Mater. Sci. 8 (2004) 176.
[180] G. Mountjoy, M.A. Holland, P. Gunawidjaja, G.W. Wallidge, D.M. Pickup, R.J. [232] Y. Yang, S. Jayaraman, B. Sperling, D.Y. Kim, G.S. Girolami, J.R. Abelson, J. Vac. Sci.
Newport, M.E. Smith, J. Sol–Gel Technol. 26 (2003) 161. Technol. A 25 (2007) 200.
[181] Z. Zhan, H.C. Zeng, J. Non-Cryst. Solids 243 (1999) 26. [233] A.L. Wayda, L.F. Schneemeyer, R.L. Opila, Appl. Phys. Lett. 53 (1988) 361.
[182] M. Sawkar-Mathur, Y.C. Perng, J. Lu, H.O. Blom, J. Bargar, J.P. Chang, Appl. Phys. [234] S. Jayaraman, Y. Yang, D.Y. Kim, G.S. Girolami, J.R. Abelson, J. Vac. Sci. Technol. A
Lett. 93 (2008) 233501. 23 (2005) 1619.
[183] H. Koinuma, I. Takeuchi, Nat. Mater. 3 (2004) 429. [235] C.S. Blackman, C.J. Carmalt, S.A. O’Neill, I.P. Parkin, L. Apostolico, K.C. Molloy,
[184] S. Guha, E. Gusev, M. Copel, L.A. Ragnarsson, D.A. Buchanan, MRS Bull. 27 (2002) Chem. Mater. 16 (2004) 1120.
226. [236] H. Kleinke, H.F. Franzen, Acta Crystallogr. C: Cryst. Struct. Commun. 52 (1996) 2127.
[185] M. Kundu, N. Miyata, T. Nabatame, T. Horikawa, M. Ichikawa, A. Toriumi, Appl. [237] Y. Kamata, Mater. Today 11 (2008) 30.
Phys. Lett. 82 (2003) 3442. [238] M.P. Agustin, G. Bersuker, B. Foran, L.A. Boatner, S. Stemmer, J. Appl. Phys. 100
[186] H.S. Chang, S.K. Baek, H. Park, H. Hwang, J.H. Oh, W.S. Shin, J.H. Yeo, K.H. Hwang, (2006) 024103.
S.W. Nam, H.D. Lee, C.L. Song, D.W. Moon, M.H. Cho, Electrochem. Solid-State [239] J. Lu, J. Aarik, J. Sundqvist, K. Kukli, A. Harsta, J.-O. Carlsson, J. Cryst. Growth 273
Lett. 7 (2004) F42. (2005) 510.
[187] C. Lee, J. Choi, M. Cho, J. Park, C.S. Hwang, H.J. Kim, J. Vac. Sci. Technol. B 22 (2004) [240] D.Y. Cho, K.S. Park, B.H. Choi, S.J. Oh, Y.J. Chang, D.H. Kim, T.W. Noh, R. Jung, J.C.
1838. Lee, S.D. Bu, Appl. Phys. Lett. 86 (2005) 041913.
[188] X.Y. Qiu, Q.M. Liu, F. Gao, L.Y. Lu, J.-M. Liu, Appl. Phys. Lett. 89 (2006) 242504. [241] M.H. Cho, Y.S. Roh, C.N. Whang, K. Jeong, S.W. Nahm, D.H. Ko, J.H. Lee, N.I. Lee, K.
[189] C. Wiemer, M. Fanciulli, B. Crivelli, G. Pavia, M. Alessandri, Appl. Phys. Lett. 83 Fujihara, Appl. Phys. Lett. 81 (2002) 472.
(2003) 5271. [242] R. Puthenkovilakam, Y.-S. Lin, J. Choi, J. Lu, H.-O. Blom, P. Pianetta, D. Devine, M.
[190] Y. Yang, W. Zhu, T.P. Ma, S. Stemmer, J. Appl. Phys. 95 (2004) 3772. Sendler, J.P. Chang, J. Appl. Phys. 97 (2005) 023704.
[191] P.F. Lee, J.Y. Dai, K.H. Wong, H.L.W. Chan, C.L. Choy, J. Appl. Phys. 93 (2003) 3665. [243] S.C. Chen, J.C. Lou, C.H. Chien, P.T. Liu, T.C. Chang, Thin Solid Films 488 (2005)
[192] B. Mereu, A. Dimoulas, G. Vellianitis, G. Apostolopoulos, R. Scholz, M. Alexe, Appl. 167.
Phys. A 80 (2005) 253. [244] R. Puthenkovilakam, M. Sawkar, J.P. Chang, Appl. Phys. Lett. 86 (2005) 202902.
[193] G. Apostolopoulos, G. Vellianitis, A. Dimoulas, J.C. Hooker, T. Conard, Appl. Phys. [245] K. Onishi, C.S. Kang, R. Choi, H.J. Cho, S. Gopalan, R.E. Nieh, S.A. Krishnan, J.C. Lee,
Lett. 84 (2004) 260. IEEE Trans. Electron Devices 50 (2003) 384.
[194] A. Dimoulas, G. Vellianities, G. Mavrou, G. Apostolopoulos, A. Travols, C. Wiemer, [246] B.R. Tuttle, C. Tang, R. Ramprasad, Phys. Rev. B 75 (2007) 235324.
M. Franciulli, Z.M. Rittersma, Appl. Phys. Lett. 85 (2004) 3205. [247] P. Broqvist, A. Alkauskas, A. Pasquarello, Appl. Phys. Lett. 92 (2008) 132911.
136 J.H. Choi et al. / Materials Science and Engineering R 72 (2011) 97–136

[248] B. Kaczer, B. De Jaeger, G. Nicholas, K. Martens, R. Degraeve, M. Houssa, G. [274] V.V. Afanasév, A. Stesmans, F. Chen, S.A. Campbell, R. Smith, Appl. Phys. Lett. 82
Pourtois, F. Leys, M. Meuris, G. Groeseneken, Microelectron. Eng. 84 (2007) 2067. (2003) 922.
[249] E.P. Gusev, H. Shang, M. Copel, M. Gribelyuk, C. D’Emic, P. Kozlowski, T. Zabel, [275] R. Mahapatra, A.K. Chakraborty, A.B. Horsfall, N.G. Wright, G. Beamson, K.S.
Appl. Phys. Lett. 85 (2004) 2334. Coleman, Appl. Phys. Lett. 92 (2008) 042904.
[250] H. Kim, P.C. McIntyre, C.O. Chui, K.C. Saraswat, M.H. Cho, Appl. Phys. Lett. 85 [276] M. Casse, L. Thevenod, B. Guillaumot, L. Tosti, F. Martin, J. Mitard, O. Weber, F.
(2004) 2902. Andrieu, T. Ernst, G. Reimbold, T. Billon, M. Mouis, F. Boulanger, IEEE Trans.
[251] Y. Oshima, M. Shandalov, Y. Sun, P. Pianetta, P.C. McIntyre, Appl. Phys. Lett. 94 Electron Devices 53 (2006) 759.
(2009) 183102. [277] P.W. Peacock, K. Xiong, K. Tse, J. Robertson, Phys. Rev. B 73 (2006) 075328.
[252] M. Perego, G. Seguini, M. Fanciulli, J. Appl. Phys. 100 (2006) 093718. [278] J.P. Maria, D. Wicaksana, A.I. Kingon, B. Busch, H. Schulte, E. Garfunkel, T.
[253] H. Seo, F. Bellenger, K.B. Chung, M. Houssa, M. Meuris, M. Heyns, G. Luckvsky, J. Gustafsson, J. Appl. Phys. 90 (2001) 3476.
Appl. Phys. 106 (2009) 044909. [279] S.J. Wang, P.C. Lim, A.C.H. Huan, C.L. Liu, J.W. Chai, S.Y. Chow, J.S. Pan, Q. Li, C.K.
[254] N. Wu, Q.C. Zhang, C.X. Zhu, C.C. Yeo, S.J. Whang, D.S.H. Chan, M.F. Li, B.J. Cho, A. Ong, Appl. Phys. Lett. 82 (2003) 2047.
Chin, D.L. Kwong, A.Y. Du, C.H. Tung, N. Balasubramanian, Appl. Phys. Lett. 84 [280] M. Gutowski, J.E. Jaffe, C.L. Liu, M. Stoker, R.I. Hegde, R.S. Rai, P.J. Tobin, Appl.
(2004) 3741. Phys. Lett. 80 (2002) 1897.
[255] A. Delabie, R.L. Puurunen, B. Brijs, M. Caymax, T. Conard, B. Onsia, O. Richard, W. [281] V. Yanev, M. Rommel, M. Lemberger, S. Petersen, B. Amon, T. Erlbacher, A.J.
Vandervorst, C. Zhao, M.M. Heyns, M. Meuris, M.M. Viitanen, H.H. Brongersma, Bauer, H. Ryssel, A. Paskaleva, W. Weinreich, C. Fachmann, J. Heitmann, U.
M. de Ridder, L.V. Goncharova, E. Garfunkel, T. Gustafsson, W. Tsai, J. Appl. Phys. Schroeder, Appl. Phys. Lett. 92 (2008) 252910.
97 (2005) 064104. [282] W. Chen, Q.Q. Sun, S.J. Ding, D.W. Zhang, L.K. Wang, Appl. Phys. Lett. 89 (2006)
[256] M.M. Frank, S.J. Koester, M. Copel, J.A. Ott, V.K. Paruchuri, H.L. Shang, R. Loesing, 152904.
Appl. Phys. Lett. 89 (2006) 112905. [283] N. Umezawa, Appl. Phys. Lett. 96 (2010) 162906.
[257] J. Lee, S. Maikap, D. Kim, R. Mahapatra, S.K. Ray, Y.S. No, W. Choi, Appl. Phys. Lett. [284] T. Yamaguchi, R. Iijima, T. Ino, A. Nishiyama, H. Satake, N. Fukushima, Tech. Dig.
83 (2003) 779. Int. Electron Devices Meet., 2002, p. 621.
[258] M.-H. Cho, H.S. Chang, D.W. Moon, S.K. Kang, B.K. Min, D.-H. Ko, J.H. Lee, J.H. Ku, [285] W.J. Zhu, T.P. Ma, IEEE Electron Device Lett. 25 (2004) 89.
N.I. Lee, Appl. Phys. Lett. 84 (2004) 1171. [286] S.J. Pearton, D.R. Norton, Plasma Process. Polym. 2 (2005) 16.
[259] D.-Y. Cho, S.-J. Oh, T.J. Park, C.S. Hwang, Appl. Phys. Lett. 89 (2006) 132904. [287] M. Miller, Electronic News, 2008.
[260] J. Ren, H.L. Lu, W. Chen, M. Xu, D.W. Zhang, Appl. Surf. Sci. 252 (2006) 8466. [288] A.S. Mutschler, Electronic News, 2007.
[261] C. Liu, E.F. Chor, L.S. Tan, Semicond. Sci. Technol. 22 (2007) 522. [289] M. Armacost, P.D. Hoh, R. Wise, W. Yan, J.J. Brown, J.H. Keller, G.A. Kaplita, S.D.
[262] Y.C. Chang, H.C. Chiu, Y.J. Lee, M.L. Huang, K.Y. Lee, M. Hong, Y.N. Chiu, J. Kwo, Halle, K.P. Muller, M.D. Naeem, S. Srinivasan, H.Y. Ng, M. Gutsche, A. Gutmann, B.
Y.H. Wang, Appl. Phys. Lett. 90 (2007) 232904. Spuler, IBM J. Res. Dev. 43 (1999) 39.
[263] C.F. Shih, K.T. Hung, C.Y. Hsiao, S.C. Shu, W.M. Li, J. Alloys Compd. 480 (2009) 541. [290] T. Lill, O. Joubert, Science 319 (2008) 1050.
[264] T.E. Cook, C.C. Fulton, W.J. Mecouch, R.F. Davis, G. Lucovsky, R.J. Nemanich, J. [291] L. Sha, R. Puthenkovilakam, Y.S. Lin, J.P. Chang, J. Vac. Sci. Technol. B 21 (2003)
Appl. Phys. 94 (2003) 7155. 2420.
[265] H.S. Kim, I. Ok, M. Zhang, F. Zhu, S. Park, J. Yum, H. Zhao, J.C. Lee, P. Majhi, Appl. [292] L. Sha, J.P. Chang, J. Vac. Sci. Technol. A 21 (2003) 1915.
Phys. Lett. 93 (2008) 102906. [293] L. Stafford, S.J. Pearton, J. Margot, J. Appl. Phys. 100 (2006) 063309.
[266] Y.Q. Wu, Y. Xuan, T. Shen, P.D. Ye, Z. Cheng, A. Lochtefeld, Appl. Phys. Lett. 91 [294] R.M. Martin, H.O. Blom, J.P. Chang, J. Vac. Sci. Technol. A 27 (2009) 217.
(2007) 022108. [295] R.M. Martin, J.P. Chang, J. Vac. Sci. Technol. A 27 (2009) 209.
[267] M.V. Fischetti, S.E. Laux, IEEE Trans. Electron Devices 38 (1991) 650. [296] R.M. Martin, J.P. Chang, J. Vac. Sci. Technol. A 27 (2009) 224.
[268] H. Zhao, D. Shahrjerdi, F. Zhu, H.-S. Kim, I. Ok, M. Zhang, J.H. Yum, S.K. Banerjee, [297] K. Pelhos, V.M. Donnelly, A. Kornblit, M.L. Green, R.B. Van Dover, L. Manchanda,
J.C. Lee, Appl. Phys. Lett. 92 (2008) 253506. Y. Hu, M. Morris, E. Bower, J. Vac. Sci. Technol. A 19 (2001) 1361.
[269] Y.T. Chen, H. Zhao, J.H. Yum, Y.Z. Wang, J.C. Lee, Appl. Phys. Lett. 94 (2009) 213505. [298] E. Sungauer, E. Pargon, X. Mellhaoui, R. Ramos, G. Cunge, L. Vallier, O. Joubert, T.
[270] P.D. Ye, G.D. Wilk, J. Kwo, B. Yang, H.J.L. Gossmann, M. Frei, S.N.G. Chu, J.P. Lill, J. Vac. Sci. Technol. B 25 (2007) 1640.
Mannaerts, M. Sergent, M. Hong, K.K. Ng, J. Bude, IEEE Electron Device Lett. 24 [299] D. Shamiryan, M. Baklanov, M. Claes, W. Boullart, V. Paraschiv, Chem. Eng.
(2003) 209. Commun. 196 (2009) 1475.
[271] P.D. Ye, G.D. Wilk, B. Yang, J. Kwo, H.J.L. Gossmann, M. Frei, J.P. Mannaerts, M. [300] J.P. Lee, M.M. Sung, J. Am. Chem. Soc. 126 (2004) 28.
Sergent, M. Hong, K.K. Ng, J. Bude, J. Electron. Mater. 33 (2004) 912. [301] R. Chen, H. Kim, P.C. McIntyre, D.W. Porter, S.F. Bent, Appl. Phys. Lett. 86 (2005)
[272] H.-S. Kim, I. Ok, M. Zhang, F. Zhu, S. Park, J. Yum, H. Zhao, J.C. Lee, J. Oh, P. Majhi, 191910.
Appl. Phys. Lett. 92 (2008) 032907. [302] J. Liu, Y. Mao, E. Lan, D.R. Banatao, G.J. Forse, J. Lu, H.O. Blom, T.O. Yeates, B. Dunn,
[273] J.B. Casady, R.W. Johnson, Solid-State Electron. 39 (1996) 1409. J.P. Chang, J. Am. Chem. Soc. 130 (2008) 16908.

You might also like