You are on page 1of 2

SIMPLIFIKASI K-MAP UNTUK BCD TO SEVEN SEGMENT

Galuh Triyanto (16/396249/SV/10462)


Asisten: Agung Wibisono
Tanggal Praktikum: 13/03/2017
Mata Kuliah : KL Elektronika Digital Dasar
Prodi/Fakutas/Universitas : D3 Elektronika dan Instrumentasi /Sekolah Vokasi/UGM

Abstrak
BCD (Binary Code Decimal ) To Seven Segment II. Metode
merupakan rangkaian elektronika digital yang difungsikan untuk
mengubah kode-kode nilai yanng berbentuk biner menjadi Alat dan Bahan :
bilangan yang berbentuk decimal , sehingga kode-kode tersebut 1. PC dengan software Altera
dapat dipahami secara visual. Decoder tersebut dapat merubah 2. Rangkaian FPGA 1 set
BCD digit pada input menjadi informasi (7 bit) yang sesuai
untuk mengaktifkan digit-oriented display atau 7- Langkah Kerja :
segment.BCD-to-7-Segment decoder dapat diimplementasikan 1. Buatlah rangkaian BCD To Seven Segment yang
menjadi driver seven segment disimplifikasi dengan K-Map berdasarkan
Kata Kunci : BCD , Dekorder , Rangkaian Elektronika Digital Table1 Kebenaran BCD To Seven segment
, Seven Segment. berikut :

I. LATAR BELAKANG INPUT OUTPUT


W X Y Z a b c d e f g
Pada praktikum ini praktikan akan mencoba merancang 0 0 0 0 1 1 1 1 1 1 0
rangkaian digital pada software Altera Quartus. BCD TO 7 0 0 0 1 0 1 1 0 0 0 0
Segment merupakan rangkaian digital yang digunakan untuk
0 0 1 0 1 1 0 1 1 0 1
mengkonversikan suatu nilai decimal terkode biner ( BCD /
Binary Code Decimal ) ke pola segmen yang sesuai pada 0 0 1 1 1 1 1 1 0 0 1
display 7-Segment. Karena nilai BCD adalah angka 4-bit 0 1 0 0 0 1 1 0 0 1 1
pada jangkauan 0-9, maka apabila akan memperlakukan 0 1 0 1 1 0 1 1 0 1 1
nilai diatas 9 tentunya tidak akan bisa ditampilkan ( Don’t 0 1 1 0 1 0 1 1 1 1 1
Care ) atau tidak akan berpengaruh pada desainnya. 0 1 1 1 1 1 1 0 0 0 0
Seven Segment biasanya diidentifikasi dalam industri
1 0 0 0 1 1 1 1 1 1 1
menggunakan huruf a-g seperti pada berikut ini : 1 0 0 1 1 1 1 1 0 1 1
1 0 1 0 x x x x x x x
1 0 1 1 x x x x x x x
1 1 0 0 x x x x x x x
1 1 0 1 x x x x x x x
( KONVERSI PENOMORAN ) 1 1 1 0 x x x x x x x
1 1 1 1 x x x x x x x

III. DATA HASIL DAN ANALISIS


A. Perhitungan Output Seven Segment
Menggunakan K-Map
Tabel 2 Fungsi Boolean

( POLA DISPLAY ) Output Simplification


a W + Y + XZ + X’Z’
Prinsip kerja dari seven segment ini adalah inputan bilangan
biner pada switch dikonversi masuk kedalam decorder, b X’ + Y’Z’ + YZ
kemudian decorder mengkonversi bilangan biner tersebut ke
dalam bilangan decimal, yang mana bilangan decimal ini c X + Y’ + Z
akan di tampilkan pada layar seven segment. Fungsi dari d X’Z’ + YZ’ + YX’ + W + XY’Z
decorder sendiri adalah sebagai pengkonversi bilanagn biner
ke dalam bilangan decimal. e X’Z’ + YZ’
f W +Y’Z’ + XY’ + XZ’
Tujuan : Dapat menggunakan software Altera Quartus untuk
mendesain rangkaian BCD To Seven Segment , Dapat g W + XY’ + X’Y + YZ’
memahami cara penggunaan Seven Segment untuk simulasi
desain yang telah dibuat.

Laporan Praktikum – KL Elektronika Digital Dasar – SV UGM 1


B. Diagram Logika Seven Segment sederhana dengan cara pemetaan yang terdapat
petak-petak yang jumlahnya tergantung dari
banyaknya inputan dari rangkaian logikanya.
Dekorder BCD ke Seven Segment mempunyai
masukan berupa bilangan BCD 4-bit ( masukan
W, X, Y, dan Z ). Bilangan BCD ini dikodekan
sehingga membentuk 7 segment yang akan
menyalakan ruas-ruas yang sesuai pada Seven
Segment. Masukan BCD diaktifkan oleh logika ‘1’,
dan keluaran dari dari dekordernya adalah
berupa Aktif Low.
Cara kerja dari seven segment tersebut yaitu ,
misalnya untuk menampilkan angka 1 maka
segment “b” dan “c” ON (1) atau kondisi High
dan segment yang lainnya OFF (0) atau berkondisi
Low. Apabila menampilkan angka 2 maka
segment “a,b,g,e” ON (1) sedangkan segment
yang lainnya OFF (0), begitu pula angka - angka
yang selanjutnya.
 Penyederhanaan Output dari seven segment
tersebut juga dapat menggunakan Aljabar
Boolean, dengan menggunakan Minterm atau
yang disebut dengan ( Logika 1 ). Jadi karena ada
7 keluaran ( a, b, c, d, e, f, dan g ) maka akan
didapatkan juga 7 persamaan Boolean dari masing
– masing kolom Output pada Tabel kebenaran
BCD To Seven Segment diatas.
Perhitungan Menggunakan Boolean
Misalnya Untuk kolom Output “a” :
W’X’Y’Z’+ W’X’YZ’ + W’X’YZ + W’XY’Z +
WX’Y’Z + W’XYZ + WX’Y’Z’ +WX’Y’Z

Disederhanakan = W + Y + XZ + X’Z’
( Fungsi lengkapnya dapat dilihat pada Tabel 2 )
Pengimplementasian dari semua fungsi diatas (a, b,
c, d, e, f, dan g ) tersebut dapat disederhanakan lagi
menjadi sebuah rangkaian gerbang logika seperti
pada gambar diagram logika.
V. Kesimpulan
Dari hasil percobaan tersebut dapat disimpulkan bahwa :
 Saya telah memahami dan mengerti cara membuat
rangkaian gerbang logika BCD-To-7-Segment
menggunakan Software Altera.
 Dekorder BCD To 7 Segment merupakan rangkaian
IV. Pembahasan : elektronika yang berfungsi untuk mengubah kode
Penyederhanaan Persamaan dari BCD atau menampilkan kode – kode biner menjadi
karakter yang dapat dipahami secara visual.
Output BCD-To-7-Segment
VI. Daftar Pustaka
 Untuk menyederhanakan output dari seven
segment dapat menggunakan metode Karnaugh [1] https:\\www.academia.edu/1044838/,
Map ( K-Map ). Karena K-Map ini merupakan 16 Maret 2017, 22:05 WIB .
penyederhanaan persamaan logika yang lebih

Laporan Praktikum – KL Elektronika Digital Dasar – SV UGM 2

You might also like