You are on page 1of 10

.10 Lección 6.

ARITMETICA DIGITAL Y CIRCUITOS SUMADORES

Los sistemas digitales como por ejemplo los computadores, las calculadoras, contienen

circuitos que realizan operaciones aritméticas como la suma, resta, multiplicación y

división. Sin embargo los circuitos aritméticos se fundamentan solo en operaciones de suma,

si observamos, una multiplicación no es más que un asuma sucesiva, una división es un a

resta sucesivas y una operación de resta se puede convertir en suma, claro está si se lleva al

sistema complemento a dos C2, Para esto empezaremos por explicar cómo representan los

números binarios con signos, los cuales dará lugar a diferentes representaciones

5.10.1 Representación SIGNO- MAGNITUD

Para representar un número binario en signo- magnitud, se hace en forma natural, de

manera tal que si se le antepone a éste grupo de bit (magnitud) un bit para indicar el signo.

Si por el ejemplo el bit más significativo del número binario toma el valor de 0 indica

que el número es positivo y si toma el valar de 1 indicar que el número negativo.

Ejemplo. Llevar los números 6810 y -7010 a su representación signo – magnitud binaria.

a) Convertimos el número decimal a binario

6810= 1000100

Luego le anteponemos un 0 para indicar que es positivo

0 1000100

b) Convertimos el número decimal a binario

7010= 1000110

Luego le anteponemos un 0 para indicar que es negativo

1 1000110

5.10.1.1 Representación mediante COMPLEMENTOS


El utilizar la representación magnitud-signo en un sistema digital significaría la

utilización de un circuito para realizar las sumas y otro distinto para realizar restas. Por este

motivo se utilizan los complementos que son representaciones para convertir restas en

sumas (se resta sumando) y así simplificar la circuitería.

5.10.1.1.1 Complemento a uno (C1)

El complemento a uno de un número binario es el número que se obtiene al cambiar

los ceros por unos y unos por ceros (complementar). Por ejemplo, para obtener el C1 de

101101 sería 010010.

5.10.1.1.2 Complemento a dos (C2)

El complemento a dos de un número binario es el que se obtiene sumando 1 al C1.

Los pasos que se deben seguir para decodificar un número representado en C2 son los

siguientes, teniendo en cuenta que el bit de más peso (MSB), representa el signo:

 Si el primer bit de la izquierda es 0 el número es positivo. Entonces el número

representado es el equivalente del número binario que forma el resto de bits.

 Si el primer bit es 1 el número es negativo. Entonces el número representado es el

opuesto del equivalente decimal del número binario que forma su complemento a dos.

5.10.2 Ejemplos de operaciones aritméticas binarias

Ejemplo 1. Restar el número 42 de 19 en binario.

El C2 del número de +1 seria 0001, mientras que el C2 del número -1 seria 1111, como

representa un numero negativo, debido a que el bit LSD es 1, la magnitud no es real,

entonces para obtener dicha magnitud, lo que se hace es obtener su C1 que sería 0000 y le

sumo 1, dando como resultado el 0001 que es el número decimal 1, pero como sé que es

negativo, sería el -1.


La operación aritmética de resta en C2 se realiza de la siguiente forma:

La representación binaria de +42 es 0101010 y poniendo el bit de signo será 00101010,

mientras que el C2(-19) será tomando el binario de 19 que es 0010011 realizo el C1

obteniendo el 1101100 y su C2 será C1+1 = 1101101. Le añado un uno delante para indicar

que es negativo y entonces realizo la resta (que se ha transformado en una suma).

42 00101010 → 𝐶2 (42)
−19 → 11101101 → 𝐶2(−19)
23 00010111

Suma y restas de binarios

Las posibles combinaciones al sumar dos bits son:

0+0=0

0+1=1

1+0=1

1 + 1 = 10

Note que al sumar 1 + 1 es 102, es decir, llevamos 1 a la siguiente posición de la

izquierda (acarreo). Esto es equivalente, en el sistema decimal a sumar 9 + 1, que da 10: cero

en la posición que estamos sumando y un 1 de acarreo a la siguiente posición.

Ejemplo 2. Sumar en binario

1
10011000
+00010101
——————
10101101
Comenzamos a sumar desde la derecha, en nuestro ejemplo, 1 + 1 = 10, entonces

escribimos 0 en la fila del resultado y llevamos 1 (este "1" se llama acarreo o arrastre). A
continuación se suma el acarreo a la siguiente columna: 1 + 0 + 0 = 1, y seguimos hasta

terminar todas la columnas (exactamente como en decimal).

5.10.2.1 Resta de números binarios

El algoritmo de la resta en sistema binario es el mismo que en el sistema decimal. Pero

conviene repasar la operación de restar en decimal para comprender la operación binaria, que

es más sencilla. Los términos que intervienen en la resta se llaman minuendo, sustraendo y

diferencia.

Las restas básicas 0 - 0, 1 - 0 y 1 - 1 son evidentes:

0-0=0

1-0=1

1-1=0

0 - 1 = 1 (se transforma en 10 - 1 = 1) (en sistema decimal equivale a 2 - 1 = 1)

La resta 0 - 1 se resuelve, igual que en el sistema decimal, tomando una unidad prestada

de la posición siguiente: 0 - 1 = 1 y me llevo 1, lo que equivale a decir en el sistema decimal,

2 - 1 = 1.

Ejemplos

10001 11011001
-01010 -10101011
——— ————
00111 00101110

Ahora utilizando el complemento a dos (C2). La resta de dos números binarios puede

obtenerse sumando al minuendo el «complemento a dos» del sustraendo.

Ejemplo

La siguiente resta, 91 - 46 = 45, en binario es:


1011011 1011011
-0101110 el C2 de 46 (0101110) es 1010010 +1010010
———— ————
0101101 10101101

En el resultado nos sobra un bit, que se desborda por la izquierda. Pero, como el número

resultante no puede ser más largo que el minuendo, el bit sobrante se desprecia.

Un último ejemplo: vamos a restar 219 - 23 = 196, directamente y utilizando el complemento

a dos:

11011011 11011011
-00010111 el C2 de 00010111 es 11101001 +11101001
————— —————
11000100 11100010

Y, despreciando el bit que se desborda por la izquierda, llegamos al resultado correcto:

11000100 en binario, 196 en decimal.

5.10.3 Ejercicios de autoevaluación de operaciones aritméticas binarias

1) Realizar las siguientes operaciones bajo el sistema complemento a dos C2

a) Restar en binario los números 47 y – 35

b) Sumar en binario los números 20 y 52

2) Realizar las siguientes operaciones bajo el sistema signo-Magnitud

a) Sumar en binario los números 28 y 67

b) Restar en binario los números -18 y 90

5.11 Lección 7. CIRCUITOS SUMADORES RESTADORES

5.11.1 Semisumador

En la tabla siguiente se puede ver las sumas que se pueden hacer con dos número

binarios de una cifra. Las variables B0 y B1 representan los bits de entrada, mientras que

las variables S y CY representan consecutivamente la suma y el acarreo. Nótese que al


sumar la entrada B1=1 y B0=1, la variable S toma el valor de 1 y se genera un acarreo (CY)

de salida de 1

La tabla de verdad de una suma binaria con acarreo

Tabla 5.8. Tabla de verdad de un semisumador

B1 B0 S CY
0 0 0 0
0 1 1 0
1 0 1 0
1 1 0 1
Fuente. Muentes Tulio (2015)

La implementación del siguiente circuito, responde a las especificaciones de la tabla de

verdad

Figura 5.12 Circuito semisumador de una cifra

Fuente. Muentes Tulio (2015)

5.11.1.1Sumador completo

Si cada par de sumandos binarios puede producir un bit de acarreo, también puede tener la

capacidad de reconocer un bit de acarreo de entrada proveniente del sumador anterior a este.

Teniendo en cuenta esto, se puede implementar un sumador de "n" bits. Que cumpla con

la tabla siguiente.

Tabla 5.9 Tabla de verdad de un sumador completo

B2 B1 Cin Cy S
0 0 0 0 0
0 0 1 1 0
0 1 0 1 0
0 1 1 0 1
1 0 0 1 0
1 0 1 0 1
1 1 0 0 1
1 1 1 1 1
Fuente. Muentes Tulio (2015)

Nota: Cin = acarreo entrante, Cy= acarreo de salida.

Teniendo en cuenta los minterminos para la salida S, la expresión de salidas está dada por

S= B2⊕ B1⊕ BO

Ahorra transfiriendo todo los minterminos de la salida CY, resulta el mapa

Figura 5.13 Minterminos de un semisumador de una cifra

Fuente. Muentes Tulio (2015)

Simplificando a través del mapa de karnaugh, queda la siguiente función lógica

Cy = Cin.B + Cin A + B1B0

Ahora, teniendo en cuenta las dos expresiones lógicas de salida ( S y CY ) , se puede

implementar el sumador completo. La siguiente figura muestra el resultado de dicha

implementación.

Figura 5.14. Circuito sumador completo


Fuente: Muentes Tulio (2015)

El diagrama del sumador binario completo de n bits se basa en el sumador binario de 1

bit. Su diagrama de bloque se muestra a continuación

Figura 5.15 bloque de un sumador completo

Fuente: Muentes Tulio (2015)

El sumador completo de 4 bits, se obtiene colocando en serie 4 sumadores binarios

completos de 1 bit, estas conexiones se hacen a través de los terminales de acarreo saliente

(Cin) y acarreo entrante (Cout)

Figura 5.16. Diagrama de bloque de un sumador completo de 4 bits

Fuente: Muentes Tulio (2015)

5.11.2 Ejemplos de circuitos sumadores


Ejemplo 1. Si la entrada de control P = 0 y las entradas A= 1000 y B= 0101, cual es el valor

de la salida S.

Si la entrada de control P, toma el valor de 0, las entradas de las compuertas XOR

(B3B2B1B0) , no se invierten, por tal razón el valor de las salidas de estas compuertas serán

los mismo de las entradas. Ahora si P es igual a 1, los valores de las entradas de las XOR

se invertirán. Para este ejemplo P = 0 lo que implica que se realizará la siguiente operación.

1000
-0101
———
1100

Figura 5.17. Circuito sumador/restador de 4 bits.

Fuente: Muentes Tulio (2015

5.11.3 Ejercicios de autoevaluación sobre sumadores

1. Diseñe un sumador de 8 bits, utilizando circuitos sumadores integrados.

2. Diseñe un circuito sumador BCD con dos integrados 74283. el primer sumador suma los

dos números de 4 bits en las entradas A(A3A2A1A0) y B (B3B2B1B0) si el resultado da

mayor que nueve debe corregirlo, sumándole seis al segundo sumador para que la salida

sea un BCD valido.

3. Para el circuito mostrado, elabore una tabla de verdad, que muestre las entradas D,C B,A

y las salidas W, Z, Y, X.
5.18 Circuito combinatorio con 74283

Fuente: Muentes Tulio (2015

You might also like