You are on page 1of 14

LABORATORIO 6

CIRCUITOS DIGITALES
Mamani Gongora Jairo Cesar

CODIGO: 17190001
Laboratorio 6

LABORATORIO 6 2

DISEÑOS OBLIGATORIOS (poner el enunciado de cada pregunta que se resuelve) De las


siguientes preguntas, resolver CUATRO como mínimo y hacer su correspondiente
simulación en el DSCH. Revisar los ejemplos parecidos del DSCH. (Puntaje: 10 puntos).

De las siguientes preguntas, diseñar en VHDL como mínimo DOS y hacer su


correspondiente código y simulación en VHDL, usar de preferencia un nivel de
comportamiento en la descripción VHDL. Pueden ser las mismas preguntas del DSCH o
distintas. (Puntaje: 08 puntos).

A) Usando multiplexores CI 74151 y algunas puertas adicionales, diseñar un


multiplexor 32 a 1. Se pide:
- Resumen de la Hoja de Datos Técnicos del CI. Buscar en internet el “data sheet”.
Entender su funcionamiento lógico.

Mamani Gongora Jairo Cesar


Laboratorio 6

- Simular el equivalente lógico del CI y definirlo como símbolo. Incluir el pin de Vcc y
GND con la misma distribución de pines del “data sheet”.

Mamani Gongora Jairo Cesar


Laboratorio 6

4
- Mostrar el conexionado y simular el diseño usando el símbolo del CI. El
conexionado debe ser tal como se haría en un protoboard real.

Multiplexor 32 a 1 en base a multiplexores de 8 a 1

Mamani Gongora Jairo Cesar


Laboratorio 6

VHDL
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity mux_32x1 is
Port ( I : in STD_LOGIC_VECTOR (32 downto 1);
S : in STD_LOGIC_VECTOR (4 downto 0);
h : in STD_LOGIC;
y : out STD_LOGIC;

Mamani Gongora Jairo Cesar


Laboratorio 6

w : out STD_LOGIC);
end mux_32x1; 6

architecture Behavioral of mux_32x1 is

signal z_temp : std_logic; --señal interna para la salida y

begin
z_temp <= '0' WHEN h='0' ELSE
I(1) WHEN S="00000" ELSE
I(2) WHEN S="00001" ELSE
I(3) WHEN S="00010" ELSE
I(4) WHEN S="00011" ELSE
I(5) WHEN S="00100" ELSE
I(6) WHEN S="00101" ELSE
I(7) WHEN S="00110" ELSE
I(8) WHEN S="00111" ELSE
I(9) WHEN S="01000" ELSE
I(10) WHEN S="01001" ELSE
I(11) WHEN S="01010" ELSE
I(12) WHEN S="01011" ELSE
I(13) WHEN S="01100" ELSE
I(14) WHEN S="01101" ELSE
I(15) WHEN S="01110" ELSE
I(16) WHEN S="01111" ELSE
I(17) WHEN S="10000" ELSE
I(18) WHEN S="10001" ELSE
I(19) WHEN S="10010" ELSE
I(20) WHEN S="10011" ELSE
I(21) WHEN S="10100" ELSE
I(22) WHEN S="10101" ELSE
I(23) WHEN S="10110" ELSE
I(24) WHEN S="10111" ELSE
I(25) WHEN S="11000" ELSE
I(26) WHEN S="11001" ELSE
I(27) WHEN S="11010" ELSE
I(28) WHEN S="11011" ELSE
I(29) WHEN S="11100" ELSE
I(30) WHEN S="11101" ELSE
I(31) WHEN S="11110" ELSE
I(32) WHEN S="11111" ELSE
'0';
y <= z_temp;
w <= not z_temp;
end Behavioral;

Mamani Gongora Jairo Cesar


Laboratorio 6

B) Usando DOS decodificadores CI 74138, diseñar un circuito decodificador 4 a 16. Se


pide:

Mamani Gongora Jairo Cesar


Laboratorio 6

- Resumen de la Hoja de Datos Técnicos del CI. Buscar en internet el “data sheet”.
8
Entender su funcionamiento lógico.

- Simular el equivalente lógico del CI y definirlo como símbolo. Incluir el pin de Vcc y
GND con la misma distribución de pines del “data sheet”.

Mamani Gongora Jairo Cesar


Laboratorio 6

- Mostrar el conexionado y simular el diseño usando el símbolo del CI. El


conexionado debe ser tal como se haría en un protoboard real.

Mamani Gongora Jairo Cesar


Laboratorio 6

VHDL
10

C) Diseñe un codificador de prioridad de 4 entradas activas en nivel bajo y una salida


para indicar que no hay ninguna entrada activa, como se muestra en la figura:

Mamani Gongora Jairo Cesar


Laboratorio 6

11

E0 E1 E2 E3 A1 A0 Y
0 0 0 0
0 0 0
1 0 0 0
0 0 0 0
0 0 1
1 0 0 0
0 0 0 0
0 1 0
1 0 0 0
0 0 0 0
0 1 1
1 0 0 0
0 0 1 0
1 0 0
1 0 1 0
0 0 1 0
1 0 1
1 0 1 0
0 1 0 0
1 1 0
1 1 0 0
0 1 0 0
1 1 1
1 X X 1

De la tabla de verdad vemos que:

Y = E0E1E2E3

A1 = E0E1

A0 = E0E1’

Mamani Gongora Jairo Cesar


Laboratorio 6

12

D) Analizar el circuito dado y hallar la expresión booleana de la salida F en función de


las entradas (x, y, z1, z0).

En base a la teoría de multiplexores hallamos la función:

F = z1’z0’(x xor y) + z1’z0(x or y) + z1z0’(x nand y) + z1z0(y)

Mamani Gongora Jairo Cesar


Laboratorio 6

13

VHDL
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity pregg is
Port ( x : in STD_LOGIC;
y: in STD_LOGIC;
f : out STD_LOGIC;
z0: in STD_LOGIC;
z1: in STD_LOGIC);
end pregg;

architecture Behavioral of pregg is


begin
f<=(not z1 and not z0 and (x xor y)) or (not z1 and z0 and (x or y)) or (z1 and not z0 and (x nand
y)) or (z1 and z0 and y);
end Behavioral;

Mamani Gongora Jairo Cesar


Laboratorio 6

14

Mamani Gongora Jairo Cesar

You might also like