You are on page 1of 30

Admission to M.

Tech program will be on the basis of performance in the All India Engineering Entrance Examination of SRM University (SRMEEE). *Candidates with valid GATE score can also apply for admission*. Candidates, on the basis of the merit list, will be called for counseling at SRM University for final Admission.

Post-Graduate Programmes
M.Tech (VLSI Design) Eligibility: B.E./ B.Tech. (ECE/ EEE/ ICE/ IT/ CSE) Duration: 2 years in 4 Semesters

- M ain Project Each student should register for required courses in the semester previous to the current semester except for I semester The elective courses shall be defined as follows Program Electives shall be the electives given here under Interdisciplinary electives shall be the courses associated with the program of study offered by other departments, preferably within the school Open Electives shall be any course offered by any department under the Faculty of Engineering and Technology A Candidate shall be allowed to take a maximum of 2 subjects (6 credits) in interdisciplinary electives and 1 subject (3 credits) in open elective, in the third semester

Core Subjects

Optional/ Elective Subjects Program Electives

Supportive Courses

Project Work

M.Tech (VLSI Design) (2008 - 2010 Curriculum) VL0501-Programming in HDL


PURPOSE HDL programming is fundamental for VLSI design and hence this course is given. INSTRUCTIONAL OBJECTIVES The student will be able to, Write programs in VHDL and verilog for modeling digital circuits. SYLLABUS CONTENTS Basic concepts Operators, Data types, Number specification, System tasks and compiler directives, Modules and ports, Gate-level Modeling, Dataflow Modeling, Behavioral Modeling-example for each modeling -test bench-lab exercise. Tasks and Functions-example-useful modeling techniques-Timing and delays-Switch level modeling- user defined primitives- lab exercise. Basic Concepts Data Objects, Data Types, Operators, Concurrent and Sequential Assignment Statements, Different Styles of Modeling, Simple Examples-test bench- lab exercise. Procedure and functions-examples-packages-Generic constants and statements-examples. Component and configuration- lab exercise. Introduction to synthesis - Verilog synthesis- modeling tips for Verilog logic synthesiscombinational and sequential logic synthesis using VHDL-VHDL modeling restrictions- lab exercise. L = 45 TEXT BOOKS Samir palnitkar,"Verilog HDL", Pearson education, 2004 Peter J.Ashenden, "The designer guide to VHDL", 2001 T = 15 TOTAL = 60

VL0502-Digital Signal Processing structures for VLSI


PURPOSE DSPs are used in many application areas and hence has become an essential part of VLSIs. Hence to introduce the student about DSP structures, this subject is included. INSTRUCTIONAL OBJECTIVES After this course the student will be knowing Fundamentals of DSP working and various structures useful in DSP implementation SYLLABUS CONTENTS Linear System Theory- Convolution- Correlation - DFT- FFT- Basic concepts in FIR Filters and IIR Filters- Filter Realizations. Representation of DSP Algorithms-Block diagram-SFGDFG. Iteration Bound Data-Flow Graph Representations- Loop Bound and Iteration Bound- Algorithms for Computing Iteration Bound-LPM Algorithm. Pipelining and Parallel Processing : Pipelining of FIR Digital Filters- Parallel Processing- Pipelining and Parallel Processing for Low Power. Retiming: Definitions-Properties and problems- Solving Systems of Inequalities. Fast Convolution Cook-Toom Algorithm- Modified Cook-Toom Algorithm.Design of Fast Convolution Algorithm by Inspection. Parallel FIR filters-Fast FIR algorithms-Two parallel and three parallel. Parallel architectures for Rank Order filters-Odd Even Merge sort architecture-Rank Order filter architecture-Parallel Rank Order filters-Running Order Merge Order Sorter-Low power Rank Order filter. Pipelined and Parallel Recursive Filters Pipeline Interleaving in Digital Filters- Pipelining in 1st Order IIR Digital Filters- Pipelining in Higher- Order IIR Filters-Clustered Look ahead and Stable Clustered Look ahead-Parallel Processing for IIR Filters and Problems. Scaling and Roundoff Noise Scaling and Roundoff Noise- State Variable Description of Digital Filters- Scaling and Roundoff Noise Computation-Round Off Noise Computation Using State Variable Description- Slow-Down- Retiming and Pipelining. L = 45 TEXT BOOKS K.K PARHI: "VLSI Digital Signal processing", John-wiley,1999 John G.Proakis, Dimitris G.Manolakis, "Digital Signal Processing", Prentice Hall of India, 1995 T = 15 TOTAL = 60

VL0503-DSP Architectures and Applications


PURPOSE This course introduces Digital Signal processors. INSTRUCTIONAL OBJECTIVES At the end of this course the student will be knowing various DSPs their architectures and uses. SYLLABUS CONTENTS Overview of Digital Signal Processing Advantages of DSP over analog systems, salient features and characteristics of DSP systems, applications of DSP systems. Introduction to DSP Processor Common features of DSP processors, numeric representations in DSP processor, data path of a DSP processor, memory structures in DSP processors, VLIW architecture, special addressing modes in DSP processors, pipelining concepts, on-chip peripherals found in DSP processors. Architecture of TMS320C5X Processors- Assembly Instructions- Addressing ModesPipelining and Peripherals-Lab exercises Architecture of TMS320C3X- Instruction Set- Addressing Modes- Data Formats- Floating Point Operation- Pipelining and Peripherals- Lab exercises Introduction to Black fin processor- Architecture overview-processor core-addressing modesinstruction sets-Targeted applications - Lab exercises. VLIW Architecture- SHARC- SIMD- MIMD Architectures- Application: Adaptive filtersDSP based biometry receiver-speech processing-position control system for hard disk driveDSP based power meter. L = 45 TEXT BOOKS B.Venkatramani & M.Baskar, "Digital Signal Processor", McGraw Hill, 2000 Avatar Singh and S.Srinivasan, "Digital signal processing", Thomson books,2004 K.K Parhi, "VLSI DSP Systems", John Wiley, 1999 T = 15 TOTAL = 60

VL0504-CMOS Analog circuit design


PURPOSE Analog circuits are essential in interfacing and in building amplifiers and low pass filters. This course introduces design methods for CMOS analog circuit design. INSTRUCTIONAL OBJECTIVES At the end of this course the student will be learning, CMOS analog circuits design and simulation using SPICE. SYLLABUS CONTENTS Introduction Terminologies - analog and digital signals, analog sampled-data or discrete time signal, circuit design, analysis of a circuit, synthesis of a circuit, differences between integrated and discrete analog circuit design, design process of an analog integrated circuit. CMOS Technology Basic MOS fabrication processes, PN junction, MOS transistor, passive components, lateral and substrate BJT and latchup CMOS Device Modeling Large-signal and small-signal model for the MOS transistor, computer simulation models, simulation of MOS circuits using SPICE. Analog CMOS subcircuits MOS switch, MOS diode/ active resistor, current sinks and sources, current mirrors, current and voltage references, bandgap reference, simulation of CMOS sub circuits using SPICE. CMOS amplifiers Common-Source stage (with resistive load, diode connected load, current-source load, triode load, source degeneration), source follower, common-gate stage, casoade stage, folded cascode stage, simulation of CMOS amplifiers using SPICE. Differential amplifier Single-ended operation, differential operation, basic differential pair, large-signal and smallsignal behaviour, common-mode response, differential pair with MOS loads, simulation of differential amplifiers using SPICE. Operational amplifiers Performance parameters of op-amp, two-stage CMOS op-amp, cascode op-amps, 741 op-amp circuit, dc analysis and small-signal analysis of 741 op-amp, simulation of 741 op-amp using SPICE, macro models for op-amps. Frequency response of CS stage, CD stage, CG stage, cascode stage, differential pair, twostage CMOS op-amp, folded cascode op-amp and 741 op-amp. Noise characteristics in the frequency and time domains, thermal noise, shot noise, flicker noise, popcorn noise, noise models of IC components, representation of noise in circuits, noise in single-stage amplifiers (CS, CD and CG stages), noise in differential pairs, noise bandwidth, noise figure, noise temperature.

L = 45 TEXT BOOKS

T = 15

TOTAL = 60

Allen, Holberg, "CMOS analog circuit design", Oxford University Press, 2004 Razavi, "Design of analog CMOS integrated circuits", McGraw Hill, 2001 Gray, Meyer, Lewis, Hurst, "Analysis and design of Analog Integrated Circuits", 4th Edition, Willey International, 2002

VL0505-Testing of VLSI Circuits


PURPOSE Testing VLSI is essential as these circuits are complex. Hence this paper deals with fundamental techniques used for logic testing. INSTRUCTIONAL OBJECTIVES At the end of the course the student will be having knowledge on digital testing as applied to VLSI design. SYLLABUS CONTENTS Introduction - Need for testing - VLSI Testing Process And Test Equipment - Types of testing - ATE -ADVANTEST model T6682-Block Diagram and Specification- Electrical Parametric testing - AC and DC-Test Economics - Fault Molding-Stuck at faults. Calculation of DCR and ECR. Faults in Digital circuits- failures and faults. Modeling of faults. Temporary faults. Test generation for combinational logic circuits-combinational ATPG-Boolean Difference Method-D-Algorithm-PODEM-FAN Algorithm. Testable combinational logic circuit design. Algorithm for true value simulation and for fault simulation. Test generation for sequential circuits. Design of testable sequential circuits-sequential ATPG-Implementation and complexity- Time Frame Expansion-simulation method. DSP based testing - Static ADC & DAC testing methods- Testable memory design -Reduced Functional Faults-MARCH and MAT+ algorithm. Analog and Mixed signal tests. Delay test - Path delay test and fault models - Transition faults - delay test methodologies practical consideration - IDDQ testing - Testing methods - Limitations of IDDQ testing DFT IDDQ. DFT - Scan Design - Partial scan design - BIST- TPG for BIST - output response analysis, BIST Architectures- Random Logic BIST - Memory and delay fault BIST - JTAG - System test and core based design. L = 45 TEXT BOOKS Parag.K.Lala "Digital Circuit Testing and Testability" Academic Press T = 15 TOTAL = 60

Viswani D.Agarval Michael L.Bushnell, "Essentials of Electronic Testing for Digital Memory & Mixed Signal VLSI Circuit", Kluwer Academic Publications, 1999

VL0506-VLSI Technology
PURPOSE This paper deals with manufacturing of VLSI devices. INSTRUCTIONAL OBJECTIVES After going through this course student will be knowing about various technologies used for fabricating VLSI devices. SYLLABUS CONTENTS Electronic Grade Silicon, Czochralski crystal growing, Silicon Shaping, processing considerations, Vapor phase Epitaxy, Molecular Beam Epitaxy, Silicon on Insulators, Epitaxial Evaluation, Growth Mechanism and kinetics, Thin Oxides, Oxidation Techniques and Systems, Oxide properties, Redistribution of Dopants at interface, Oxidation of Poly Silicon, Oxidation inducted Defects. Optical Lithography, Electron Lithography, X-Ray Lithography, Ion Lithography, Plasma properties, Feature Size control and Anisotropic Etch mechanism, reactive Plasma Etching techniques and Equipment. Deposition process, Poly silicon, plasma assisted Deposition, Models of Diffusion in Solids, Fick's one dimensional Diffusion Equations - Atomic Diffusion Mechanism - Measurement techniques - Range theory- Implant equipment. Annealing Shallow junctions - High energy implantation - Physical vapor deposition - Patterning. Ion implantation - Diffusion and oxidation - Epitaxy - Lithography - Etching and DepositionNMOS IC Technology - CMOS IC Technology - MOS Memory IC technology - Bipolar IC Technology - IC Fabrication. Analytical Beams - Beam Specimen interactions - Chemical methods - Package types packaging design considerations - VLSI assembly technology - Package fabrication technology. L = 45 TEXT BOOKS S.M.Sze, "VLSI Technology", McGraw Hill Second Edition. 1998 James D Plummer, Michael D. Deal, Peter B.Griffin, "Silicon VLSI Technology: fundamentals practice and Modeling", Prentice Hall India.2000 Wai Kai Chen, "VLSI Technology" CRC press, 2003 TOTAL = 45

VL0507-Digital VLSI Design


PURPOSE This course deals with fundamentals of electronics involved in the design of VLSI circuits. INSTRUCTIONAL OBJECTIVES By studying this course the student will be knowing basic electronics involved in the design of MOS circuits. SYLLABUS CONTENTS MOS Transistor-First Glance at the MOS device MOS Transistor under static conditionsthreshold voltage-Resistive operation-saturation region -channel length modulation-velocity saturation-Hot carrier effect-drain current Vs voltage charts - sub threshold conduction equivalent resistance-MOS structure capacitance-Design A logic sates using NMOS and PMOS and CMOS devices-Stick Diagram. Modeling of MOS Transistor using PSPICE-Introduction - Basic Concepts-LEVEL1LEVEL2-LEVEL3 modeling technique- various model comparison. Static CMOS inverterEvaluating the Robustness of CMOS Inverter. Performance of CMOS inverter: Dynamic Behavior-computing the capacitance-propagation delay sizing inverter for performancesizing a chain of invertors - Dynamic power consumption-static consumption Static CMOS design-complementary CMOS - static properties- complementary CMOS design-Power consumption in CMOS logic gates-dynamic or glitching transitions - Design techniques to reduce switching activity - Radioed logic-DC VSL - pass transistor logic Differential pass transistor logic -Sizing of level restorer-Sizing in pass transistor-Dynamic CMOS design-Basic principles - Domino logic-optimization of Domino logic-NPCMOSlogic style selection -Designing logic for reduced supply voltages Timing metrics for sequential circuit -latches Vs registers -static latches and registers Bistability principle - multiplexer based latches-master slave edge triggered registers- nonideal clock signals- low voltage static latches-static SR flip flop - Dynamic latches and registers-C2MOS register - Dual edge registers-True single phase clocked registerspipelining to optimize sequential circuit latch Vs register based pipelines- non-Bistable sequential circuit-Schmitt trigger-mono stable -Astable -sequential circuit - choosing a clocking strategy. Data Path Operations Addition/Subtraction - Comparators- Zero/One Detectors- Binary Counters- ALUsMultiplication- Shifters- Memory elements- control : Finite-State Machines. L = 45 TEXT BOOKS Jan.M.Rabaey., Anitha Chandrakasan Borivoje Nikolic, "Digital Integrated Circuits", Second Edition Neil H.E Weste and Kamran Eshraghian, "Principles of CMOS VLSI Design", 2nd Edition, Addition Wesley, 1998 T = 15 TOTAL = 60

Sung-Mo Kang, Yusuf Leblebici, "CMOS Digital IC- Analysis and Design", 3rd Edition, Tata McGraw Hill publication

VL0508-Solid State Device Modeling and Simulation


PURPOSE This course deals with modeling devices and their fundamental working concepts. INSTRUCTIONAL OBJECTIVES By studying this subject the student will be able to make models of transistor circuits and simulate them for various operational requirements. SYLLABUS CONTENTS Quantum Mechanical Concepts, Carrier Concentration, Transport Equation, Band gap, Mobility and Resistivity, Carrier Generation and Recombination, Avalanche Process, Noise Sources. Injection and Transport Model, Continuity Equation, Diode Small Signal and Large Signal (Change Control Model), Transistor Models: Ebber - Molls and Gummel Port Model, Mextram model, SPICE modeling temperature and area effects. Introduction Interior Layer, MOS Transistor Current, Threshold Voltage, Temperature Short Channel and Narrow Width Effect, Models for Enhancement, Depletion Type MOSFET, CMOS Models in SPICE. General Methods, Specific Bipolar Measurement, Depletion Capacitance, Series Resistances, Early Effect, Gummel Plots, MOSFET: Long and Short Channel Parameters, Statistical Modeling of Biopolar and MOS Transistors. Static and Dynamic Models, Rate Equations, Numerical Technique, Equivalent Circuits, Modeling of LEDs, Laser Diode and Photo-detectors. L = 45 TEXT BOOKS Philip E. Allen, Douglas R. Hoberg, "CMOS Analog Circuit Design", Second Edition, Oxford Press - 2002 S.M.Sze "Semiconductor Devices - Physics and Technology", John Wiley and sons, 1985 Kiat Seng Yeo, Samir S.Rofail, Wang-Ling Gob, "CMOS / BiCMOS ULSI - Low Voltage, low Power", Person education, Low price edition, 2003 TOTAL = 45

VL0551-Low Power VLSI Design PURPOSE As there is always a need for power efficient circuits and devices, this course explain the methods for low power VLSI design. INSTRUCTIONAL OBJECTIVES At the end of this course the student will be able to design Low power CMOS designs, for digital circuits. SYLLABUS CONTENTS Introduction to low power VLSI design-Need for low power-CMOS leakage current-static current-Basic principles of low power design-probabilistic power analysis-random logic signal-probability and frequency-power analysis techniques-signal entropy. Circuit - transistor and gate sizing - pin ordering - network restructuring and reorganization adjustable threshold voltages - logic-signal gating - logic encoding. Pre-computation logic. Power reduction in clock networks - CMOS floating node - low power bus - delay balancing SRAM. Switching activity reduction - parallel voltage reduction - operator reduction -Adiabatic computation - pass transistor logic Low power circuit design style - Software power estimation - co design. L = 45 TEXT BOOKS Gary Yeap "Practical Low Power Digital VLSI Design", 1997 Kaushik Roy , Sharat C. Prasad, "Low power CMOS VLSI circuit design", Wiley Inter science Publications". (1987) TOTAL = 45

VL0552-CMOS Mixed signal Circuit Design PURPOSE As many real life applications involve both analog and digital circuits, this course aims to introduce the problems in implementing both in a single silicon wafer. INSTRUCTIONAL OBJECTIVES At the end of the course the student will be knowing mixed signal designs like DAC, ADC, PLL etc. SYLLABUS CONTENTS Characterization of a comparator, basic CMOS comparator design, analog multiplier design, PLL - simple PLL, charge-pump PLL, applications of PLL. Switched Capacitor circuits - basic principles, some practical circuits such as switched capacitor integrator, biquad circuit, switched capacitor filter, switched capacitor amplifier, non-filtering applications of switched capacitor circuit such as programmable gate arrays, DAC and ADC, MOS comparators, modulators, rectifiers, detectors, oscillators. Sampling circuits Basic sampling circuits for analog signal sampling, performance metrics of sampling circuits, different types of sampling switches. Sample-and-Hold Architectures Open-loop & closed-loop architectures, open-loop architecture with miller capacitance, multiplexed- input architectures, recycling architecture, switched capacitor architecture, current-mode architecture. Basics Input/output characteristics of an ideal D/A converter, , performance metrics of D/A converter, D/A converter in terms of voltage, current, and charge division or multiplication, switching functions to generate an analog output corresponding to a digital input. D/A converter architectures Resistor-Ladder architectures, current-steering architectures. Basics input/output characteristics and quantization error of an A/D converter, performance metrics of A/D converter. A/D converter architectures Flash architectures, two-step architectures, interpolate and folding architectures, pipelined architectures, Successive approximation architectures, interleaved architectures. Low Pass filters, active RC integrators, MOSFET-C integrators, transconductance-c integrator, discrete time integrators. Filtering topologies - bilinear transfer function and biquadratic transfer function. L = 45 TOTAL = 45

TEXT BOOKS Razavi, "Design of analog CMOS integrated circuits", McGraw Hill, 2001 Razavi, "Principles of data conversion system design", S.Chand and company ltd, 2000 Jacob Baker, "CMOS Mixed-Signal circuit design", IEEE Press, 2002 Gregorian, Temes, "Analog MOS Integrated Circuit for signal processing", John Wiley & Sons Baker, Li, Boyce, "CMOS : Circuit Design, layout and Simulation", PHI, 2000

VL0553-Neural Networks and Applications PURPOSE The purpose of this course is to introduce neural network concepts to the student, as it is an emerging application area for VLSI. INSTRUCTIONAL OBJECTIVES At the end of the course the student will acquire knowledge on neural networks, its theory and various types. SYLLABUS CONTENTS Biological Neuron - Artificial Neural Model - Types of activation functions - Architecture: Feed forward and Feedback - Learning Process: Error Correction Learning -Memory Based Learning - Hebbian Learning - Competitive Learning - Boltzman Learning - Supervised and Unsupervised Learning - Learning Tasks: Pattern Space - Weight Space - Pattern Association - Pattern Recognition - Function Approximation - Control - Filtering - Beam forming Memory - Adaptation - Statistical Learning Theory - Single Layer Perceptron - Perceptron Learning Algorithm - Perceptron Convergence Theorem - Least Mean Square Learning Algorithm - Multilayer Perceptron - Back Propagation Algorithm - XOR problem Limitations of Back Propagation Algorithm. Cover's Theorem on the Separability of Patterns - Exact Interpolator - Regularization Theory - Generalized Radial Basis Function Networks - Learning in Radial Basis Function Networks - Applications: XOR Problem - Image Classification. Optimal Hyperplane for Linearly Separable Patterns and Nonseparable Patterns - Support Vector Machine for Pattern Recognition - XOR Problem - -insensitive Loss Function Support Vector Machines for Nonlinear Regression. Ensemble Averaging - Boosting - Associative Gaussian Mixture Model - Hierarchical Mixture of Experts Model(HME) - Model Selection using a Standard Decision Tree - A Priori and Postpriori Probabilities - Maximum Likelihood Estimation - Learning Strategies for the HME Model - EM Algorithm - Applications of EM Algorithm to HME Model.

NEURODYNAMIC SYSTEMS Dynamical Systems - Attractors and Stability - Non-linear Dynamical Systems- Lyapunov Stability - Neurodynamical Systems - The Cohen-Grossberg Theorem. Associative Learning - Attractor Neural Network Associative Memory - Linear Associative Memory - Hopfield Network - Content Addressable Memory - Strange Attractors and Chaos - Error Performance of Hopfield Networks - Applications of Hopfield Networks - Simulated Annealing - Boltzmann Machine - Bidirectional Associative Memory - BAM Stability Analysis - Error Correction in BAMs - Memory Annihilation of Structured Maps in BAMS Continuous BAMs - Adaptive BAMs - Applications. Noise-Saturation Dilemma - Solving Noise-Saturation Dilemma - Recurrent On-center -Offsurround Networks - Building Blocks of Adaptive Resonance - Substrate of Resonance Structural Details of Resonance Model - Adaptive Resonance Theory - Applications. Self-organizing Map - Maximal Eigenvector Filtering - Sanger's Rule - Generalized Learning Law - Competitive Learning - Vector Quantization - Mexican Hat Networks - Self-organizing Feature Maps - Applications. Spiking Neuron Model - Integrate-and-Fire Neurons - Conductance Based Models Computing with Spiking Neurons. L = 45 TEXT BOOKS Satish Kumar, "Neural Networks: A Classroom Approach", Tata McGraw-Hill Publishing Company Limited, New Delhi, 2004 Simon Haykin, "Neural Networks: A Comprehensive Foundation", 2ed., Addison Wesley Longman (Singapore) Private Limited, Delhi, 2001 VL0554-VLSI Design Automation PURPOSE There is a great need for methods to automate VLSI design methods. This course introduces the automation techniques. INSTRUCTIONAL OBJECTIVES After going through this course student will be having knowledge on automation methods for VLSI design. SYLLABUS CONTENTS Introduction to VLSI Design methodologies - Review of Data structures and algorithms Review of VLSI Design automation tools - Algorithmic Graph Theory and Computational Complexity - Tractable and Intractable problems - general PURPOSE methods for combinatorial optimization. TOTAL = 45

Layout Compaction - Design rules - problem formulation - algorithms for constraint graph compaction - placement and partitioning - Circuit representation - Placement algorithms partitioning Floor planning concepts - shape functions and floorplan sizing - Types of local routing problems - Area routing - channel routing - global routing - algorithms for global routing. Simulation - Gate-level modeling and simulation - Switch-level modeling and simulation Combinational Logic Synthesis - Binary Decision Diagrams - Two Level Logic SynthesisHigh level Synthesis. Hardware models - Internal representation - Allocation -assignment and scheduling - Simple scheduling algorithm - Assignment problem - High level transformations. L = 45 TEXT BOOKS S.H. Gerez, "Algorithms for VLSI Design Automation", John Wiley & Sons,2002 N.A. Sherwani, "Algorithms for VLSI Physical Design Automation", Kluwar Academic Publishers, 2002 TOTAL = 45

VL0555-Design of Semiconductor Memories PURPOSE Memory is an important part in many digital circuits and microcontrollers. This course discusses implementation methods and problems in designing and making semiconductor memories. INSTRUCTIONAL OBJECTIVES At the end of this course, the student will be able to know the design of MOS memories and the various precautionary methods to be used in the design and fabrication of them. SYLLABUS CONTENTS SRAM Cell Structures-MOS SRAM Architecture-MOS SRAM Cell and Peripheral Circuit Operation-Bipolar SRAM Technologies-Silicon On Insulator (SOl) Technology-Advanced SRAM Architectures and Technologies-Application Specific SRAMs. DRAM Technology Development-CMOS DRAMs - DRAMs Cell Theory and Advanced Cell Strucutures - BiCMOS, DRAMs - Soft Error Failures in DRAMs - Advanced DRAM Designs and Architecture-Application Specific DRAMs. Masked Read-Only Memories (ROMs)-High Density ROMs-Programmable Read-Only Memories (PROMs)-BipolarPROMs-CMOS PROMs-Erasable (UV) - Programmable RoadOnly Memories (EPROMs)-Floating-Gate EPROM Cell-One-Time Programmable (OTP) Eproms-Electrically Erasable PROMs (EEPROMs)-EEPROM Technology And Architecture-

Nonvolatile SRAM-Flash Memories (EPROMs or EEPROM)-AdvancedFlash Memory Architecture. RAM Fault Modelling, Electrical Testing, Peusdo Random Testing-Megabit DRAM TestingNon-volatile Memory Modelling and Testing-IDDQ Fault Modelling and TestingApplication Specific Memory Testing General Reliability Issues-RAM Failure Modes and Mechanism-Non-volatile Memory Reliability-Reliability Modelling and Failure Rate Prediction-Design for ReliabilityReliability Test Structures-Reliability Screening and Qualification. RAM Fault Modelling, Electrical Testing, Pseudo Random Testing-Megabit DRAM Testing-Non-volatile Memory Modelling and Testing-IDDQ Fault Modelling and Testing-Application Specific Memory Testing. Radiation Effects-Single Event Phenomenon (SEP)-Radiation Hardening TechniquesRadiation Hardening Process and Design Issues-Radiation Hardened Memory Characteristics-Radiation Hardness Assurance and Testing - Radiation Dosimetry-Water Level Radiation Testing and Test Structures. Ferroelectric Random Access Memories (FRAMs)-Gallium Arsenide (GaAs) FRAMs - Analog Memories-Magneto-resistive Random Access Memories (MRAMs)-Experimental Memory Devices. Memory Hybrids and MCMs (2D)-Memory Stacks and MCMs (3D)-Memory MCM Testing and Reliability IssuesMemory Cards-High Density Memory Packaging Future Directions. L = 45 TEXT BOOKS Ashok K. Sharma, "Semiconductor Memories", Two-Volume Set, Wiley-IEEE Press, 2003 Brent Keeth, R. Jacob Baker, "DRAM Circuit Design: A Tutorial", Wiley-IEEE Press, 2000 Betty Prince, "High Performance Memories: New Architecture DRAMs and SRAMs Evolution and Function", Wiley, 1999 VL0556-VLSI Digital Signal Processing systems PURPOSE As DSP has become an essential component of VLSI applications, this circuit is introduced. INSTRUCTIONAL OBJECTIVES At the end of this course the student will be able knowing methods and techniques for implementation of DSP systems. SYLLABUS CONTENTS Unfolding Algorithm for Unfolding- Properties of Unfolding- Critical Path- Unfolding and RetimingApplications of Unfolding. Folding: Folding Transformation- Register Minimization TOTAL = 45

Techniques- Lifetime analysis-Data Allocation using forward-Backward register AllocationRegister Minimization in Folded Architectures- Folding of Multirate Systems. Parallel Multipliers- Interleaved Floor-plan and Bit-Plane-Based Digital Filters- Bit-Serial Multipliers- Bit-serial Filter Design and Implementation- Canonic Signed Digit ArithmeticDistributed Arithmetic. Redundant Arithmetic Redundant Number Representations- Carry-Free Radix-2 Addition and Subtraction- Hybrid Radix-4 Addition- Radix-2 Hybrid Redundant Multiplication Architectures- Data Format Conversion- Redundant to Non redundant Converter . Numerical Strength Reduction: Sub expression Elimination- Multiple Constant Multiplication- Sub expression sharing in Digital Filters- Additive and Multiplicative Number Splitting. Synchronous Pipelining and Clocking Styles- Clock Skew and Clock Distribution in BitLevel Pipelined VLSI Designs- Wave Pipelining- Constraint Space Diagram and Degree of Wave Pipelining- Implementation of Wave-Pipelined Systems- Asynchronous PipeliningSignal Transition Graphs- Use of STG to Design Interconnection Circuits- - Implementation of Computational Units. Theoretical Background- Scaling Versus Power Consumption- Power Analysis- Power Reduction Techniques- Power Estimation Approaches.-Simulation Based Approach. L = 45 TEXT BOOKS K.K PARHI, "VLSI Digital Signal processing", John-Wiley (1999) TOTAL = 45

VL0557-Computer Architecture and parallel Processing PURPOSE Any VLSI design mostly involves processor systems, this course describes computer architectures. INSTRUCTIONAL OBJECTIVES At the end of this course the student will be knowing various processor implementation architectures. SYLLABUS CONTENTS Multiprocessors and Multicomputers - Multivector and SIMD Computers- PRAM and VLSI Models- Conditions of Parallelism- Program Partitioning and scheduling-program flow mechanisms- parallel processing applications- speed up performance law. Advanced processor technology - Superscalar and vector processors- Memory hierarchy technology- Virtual memory technology- Cache memory organization- Shared memory organization.

Linear pipeline processors- Non linear pipeline processors- Instruction pipeline designArithmetic design- Superscalar and super pipeline design- Multiprocessor system interconnects- Message passing mechanisms. Vector Processing principle- Multivector Multiprocessors- Compound Vector processingPrinciples of multithreading-fine grain multicomputers- scalable and multithread architectures - Dataflow and hybrid architectures. Parallel programming models- parallel languages and compilers- parallel programming environments- synchronization and multiprocessing modes- message passing program development- mapping programs onto multicomputers- multiprocessor UNIX design goalsMACH/OS kernel architecture- OSF/1 architecture and applications. L = 45 TEXT BOOKS Kai Hwang, "Advanced Computer Architecture", TMH 2001 William Stallings, "Computer Organization and Architecture", McMillan Publishing Company, 1990 M.J. Quinn, "Designing efficient Algorithms for parallel computer", McGraw Hill International, 1994 TOTAL = 45

VL0558-System-on-Chip design PURPOSE IP cores and application specific design is becoming the order of the day. Because of usefulness of this for both VLSI and embedded students this subject is provided. INSTRUCTIONAL OBJECTIVES To make the student learn, System on chip fundamentals, their applications. On-chip networking methods. SYLLABUS CONTENTS PART -A: SOC DESIGN SOC FUNDAMENTALS Essential issues of SoC design - A SoC for Digital still camera - multimedia IP development : Image and video codecs. SOC SOFTWARE AND ENERGY MANAGEMENT SoC embedded software - energy management techniques for SoC design. PART- B: ON-CHIP NETWORKING SYSTEM DESIGN AND METHODOLOGY Design methodology for NOC based systems - Mapping concurrent application onto architectural platforms.

HARDWARE AND BASIC INFRASTRUCTURE Packet switched network for on-chip communication - energy reliability tradeoff for NoC's clocking strategies - parallel computer as a NoC's region. SOFTWARE AND APPLICATION INTERFACES MP-SoC from software to hardware - NoC APIs - multilevel software validation for NoC Software for network on chip L = 45 TEXT BOOKS Axel Jantsch, "Network on chips", Kluwar Academic publishers., 2003 Youn-long, Steve lin, "Essential issues of SoC design", Springer - 2006 TOTAL = 45

VL0559-Genetic Algorithms and their Applications PURPOSE Optimization methods are necessary for making circuits and making device layouts. This course deals with GA as an optimization application for VLSI design. INSTRUCTIONAL OBJECTIVES At the end of the course student will be able to know the GA and its application methods. SYLLABUS CONTENTS Fundamentals of genetic algorithm: A brief history of evolutionary computation-biological terminology-search space -encoding, reproduction-elements of genetic algorithm - genetic modeling - comparison of GA and traditional search methods. Steady state algorithm - fitness scaling - inversion, Genetic programming - Genetic algorithm in problem solving. Natural evolution -Simulated annealing and Tabu search .Genetic Algorithm in scientific models and theoretical foundations. Computer implementation - low level operator and knowledge based techniques in Genetic Algorithm. Applications of Genetic based machine learning-Genetic Algorithm and parallel processors, composite laminates, constraint optimization, multilevel optimization, real life problem. L = 45 TEXT BOOKS Melanie Mitchell, "An introduction to Genetic Algorithm", Prentice-Hall of India,New Delhi, Edition: 2004 David.E.Golberg, "Genetic algorithms in search, optimization and machine learning", Addision-Wesley-1999 S.Rajasekaran and G.A Vijayalakshmi Pai, "Neural Networks, Fuzzy logic and Genetic Algorithms, Synthesis and Applications", Prentice Hall of India, NewDelhi-2003 VL0560 - Reliability Engineering PURPOSE For any system reliability is an essential parameter. For evaluating reliability of designs it is necessary to know reliability analysis methods. Hence this course is offered. INSTRUCTIONAL OBJECTIVES At the end of this course, the student would have learnt basics of reliability evaluation methods and its application to electronic circuits and failure modes of many electronic components. TOTAL = 45

SYLLABUS CONTENTS Statistical distribution , statistical confidence and hypothesis testing ,probability plotting techniques - Weibull, extreme value ,hazard, binomial data; Analysis of load - strength interference , Safety margin and loading roughness on reliability. Statistical design of experiments and analysis of variance Taguchi method, Reliability prediction, Reliability modeling, Block diagram and Fault tree Analysis ,petric Nets, State space Analysis, Monte carlo simulation, Design analysis methods - quality function deployment, load strength analysis, failure modes, effects and criticality analysis. Reliability of electronic components, component types and failure mechanisms, Electronic system reliability prediction, Reliability in electronic system design; software errors, software structure and modularity, fault tolerance, software reliability, prediction and measurement, hardware/software interfaces. Test environments, testing for reliability and durability, failure reporting, Pareto analysis, Accelerated test data analysis, CUSUM charts, Exploratory data analysis and proportional hazards modeling, reliability demonstration, reliability growth monitoring. Control of production variability, Acceptance sampling, Quality control and stress screening, Production failure reporting; preventive maintenance strategy, Maintenance schedules, Design for maintainability, Integrated reliability programmes , reliability and costs, standard for reliability, quality and safety, specifying reliability, organization for reliability. L = 45 TEXT BOOKS Lewis, "Introduction to Reliability Engineering", 2nd Edition, Wiley International, 1996 Patrick D.T. O'Commer, David Newton and Richard Bromley, "Practical Reliability Engineering", Fourth edition, John Wiley & Sons, 2002 TOTAL = 45

VL0560 - Reliability Engineering PURPOSE For any system reliability is an essential parameter. For evaluating reliability of designs it is necessary to know reliability analysis methods. Hence this course is offered. INSTRUCTIONAL OBJECTIVES At the end of this course, the student would have learnt basics of reliability evaluation methods and its application to electronic circuits and failure modes of many electronic components. SYLLABUS CONTENTS Statistical distribution , statistical confidence and hypothesis testing ,probability plotting techniques - Weibull, extreme value ,hazard, binomial data; Analysis of load - strength interference , Safety margin and loading roughness on reliability. Statistical design of experiments and analysis of variance Taguchi method, Reliability prediction, Reliability modeling, Block diagram and Fault tree Analysis ,petric Nets, State space Analysis, Monte carlo simulation, Design analysis methods - quality function deployment, load strength analysis, failure modes, effects and criticality analysis. Reliability of electronic components, component types and failure mechanisms, Electronic system reliability prediction, Reliability in electronic system design; software errors, software structure and modularity, fault tolerance, software reliability, prediction and measurement, hardware/software interfaces. Test environments, testing for reliability and durability, failure reporting, Pareto analysis, Accelerated test data analysis, CUSUM charts, Exploratory data analysis and proportional hazards modeling, reliability demonstration, reliability growth monitoring. Control of production variability, Acceptance sampling, Quality control and stress screening, Production failure reporting; preventive maintenance strategy, Maintenance schedules, Design for maintainability, Integrated reliability programmes , reliability and costs, standard for reliability, quality and safety, specifying reliability, organization for reliability. L = 45 TEXT BOOKS Lewis, "Introduction to Reliability Engineering", 2nd Edition, Wiley International, 1996 Patrick D.T. O'Commer, David Newton and Richard Bromley, "Practical Reliability Engineering", Fourth edition, John Wiley & Sons, 2002 TOTAL = 45

VL0561-Fundamentals and applications of MEMS PURPOSE This course is an introduction to MEMS, which also uses micro electronics. This course fulfills the need of electronic engineer who want to create MEMS devices. INSTRUCTIONAL OBJECTIVES At the end of this course, the student will have knowledge on MEMS materials, fabrication and micro sensor design. SYLLABUS CONTENTS MEMS and Microsystems, Microsystems and microelectronics, Microsystems and miniaturization, Working principle of micro system - Micro sensors, Micro actuators, MEMS with Micro actuators. Materials For MEMS - Substrate and wafer, silicon as a substrate material, silicon compound, silicon Piezo-resistors, Gallium Arsenide, quartz, Piezoelectric crystals, polymers and packaging Materials. Fabrication Process - Photolithography, Ion implantation, Oxidation, Chemical vapor deposition (CVD), Physical vapor deposition, Deposition by Epitaxy, Etching. Manufacturing Process - Bulk Micromachining, Surface Micromachining, LIGA Process. Micro system Design - Design consideration, process design, Mechanical design, Mechanical design using MEMS. Mechanical packaging of Microsystems, Microsystems packaging, interfacing in Microsystems packaging, packaging technology, selection of packaging materials, signal mapping and transduction. Case study on strain sensors, Temperature sensors, Pressure sensors, Humidity sensors, Accelerometers, Gyroscopes , RF MEMS Switch, phase shifter, and smart sensors. Case study of MEMS pressure sensor Packaging. L = 45 TEXT BOOKS Tai - Rai Hsu, "MEMS and Microsystems DESIGN and Manufacturing", Tata MC Graw Hill, Edtion 2002 Julian W Gardner, "Microsensors MEMS and smart devices", John Wiley and sons Ltd,2001 Chang Liu, "Foundation of MEMS", Pearson International Edition,2006 Gabriel M Rebeiz, "RF MEMS - Theory Design and Technology", John Wiley and Sons, 2003 TOTAL = 45

VL0562- Nano Electronics PURPOSE As a new and expanding field, with many implications, nanotechnology and nanoelectronics is going to pave way for new technologies. Hence this course introduced. INSTRUCTIONAL OBJECTIVES At the end of this course, the student will have knowledge on MEMS materials, fabrication and micro sensor design. SYLLABUS CONTENTS Physics of solid state Structure - Energy band - Quantum mechanics. Technology Film deposition methods - Lithography. Analysis Electron microcopies - Scanning probe microcopies. Logic devices Limitation of MOSFETS - Single electron devices - Quantum transport devices - Carbon nano tubes - Molecular devices. Spintronics Principle - Applications, Quantum computing, nano sensors. L = 45 TEXT BOOKS W. Roy VCH, "Nano Electronics and Information Technology", Rainen waser 2nd Edition 2005 Chonles P.Pook Jr., Frank. J. Owens, "Introduction to Nano technology", Wiley Interscience, 1 st Ed 2003 T. Pradeep, "Nano: The essentials", Tata McGraw Hill, 1 st Ed,2007 Mark Ratne, Danill Ratne, "Nano Technology", Pearson education, 1 st edition, 2006 TOTAL = 45

VL0563-Speech and Audio Signal Processing PURPOSE Speech processing is an essential area in which many applications can be developed. This course gives fundamental knowledge in this area. INSTRUCTIONAL OBJECTIVES At the end of this course, the student will be knowing speech fundamentals, its signal analysis and artificial reproduction theory, and some knowledge on recognition. SYLLABUS CONTENTS Speech production mechanism - Nature of Speech signal - Discrete time modeling of Speech production - Representation of Speech signals - Classification of Speech sounds - Phones Phonemes - Phonetic and Phonemic alphabets - Articulatory features. Music production Auditory perception - Anatomical pathways from the ear to the perception of sound Peripheral auditory system - Psycho acoustics Time domain parameters of Speech signal - Methods for extracting the parameters Energy, Average Magnitude - Zero crossing Rate - Silence Discrimination using ZCR and energy Short Time Auto Correlation Function - Pitch period estimation using Auto Correlation Function Short Time Fourier analysis - Filter bank analysis - Formant extraction - Pitch Extraction Analysis by Synthesis- Analysis synthesis systems- Phase vocoder-Channel Vocoder. Cepstral analysis of Speech - Formant and Pitch Estimation - Homomorphic Vocoders. Formulation of Linear Prediction problem in Time Domain - Basic Principle - Auto correlation method - Covariance method - Solution of LPC equations - Cholesky method Durbin's Recursive algorithm - lattice formation and solutions - Comparison of different methods - Application of LPC parameters - Pitch detection using LPC parameters - Formant analysis - VELP - CELP. Algorithms: Spectral Estimation, dynamic time warping, hidden Markov model - Music analysis - Pitch Detection - Feature analysis for recognition - Music synthesis - Automatic Speech Recognition - Feature Extraction for ASR - Deterministic sequence recognition Statistical Sequence recognition - ASR systems - Speaker identification and verification Voice response system - Speech Synthesis: Text to speech, voice over IP. L = 45 TEXT BOOKS Ben Gold and Nelson Morgan, "Speech and Audio Signal Processing", John Wiley and Sons Inc., Singapore, 2004 Quatieri, "Discrete-time Speech Signal Processing", Prentice Hall - 2001 J.L.Flanagan, "Speech analysis: Synthesis and Perception", 2nd edition - Berlin- 1972 I.H.Witten, "Principles of Computer Speech", Academic Press - 1982 TOTAL = 45

EM0559-Embedded wireless Sensor Networks PURPOSE To make the student understand and apply the theory behind wireless sensor networks. INSTRUCTIONAL OBJECTIVES To impart students with wireless sensor network fundamentals. SYLLABUS CONTENTS INTRODUCTION Embedded network systems - representation of signals - signal propagation - sensor principles. COMMUNICATION Source detection and identification - digital communications - multiple source estimation and multiple access communications. NETWORKING Networking - network position and synchronization services. NETWORK MANAGEMENT Energy management - data management - articulation mobility and infrastructure. NODES, DATA AND APPLICATION Node architecture - network data integrity - experimental system design. L = 45 TEXT BOOKS Gregory Pottie and William Waiger, "Principles of embedded networked system design", Cambridge University Press, 2005 Jr.Edger H. Callaway, "Wireless sensor networks", CRC Press, 2004 TOTAL = 45

CO0554-Electromagnetic Interference and Compatibility in System Design PURPOSE The purpose of this course is to expose the students to the basics and fundamentals of Electromagnetic Interference and Compatibility in System Design. INSTRUCTIONAL OBJECTIVES At the end of the course, student should be able to know:

EMI Environment EMI Coupling Principles EMI Specification, Standards and Limits EMI Measurements and Control Techniques EMC Design of PCBs

SYLLABUS CONTENTS EMI/ EMC concepts and definitions, Sources of EMI, conducted and radiated EMI, Transient EMI, Time domain Vs Frequency domain EMI, Units of measurement parameters, Emission and immunity concepts, ESD. Conducted, Radiated and Transient Coupling, Common Impedance Ground Coupling, Radiated Common Mode and Ground Loop Coupling, Radiated Differential Mode Coupling, Near78 Field Cable to Cable Coupling, Power Mains and Power Supply coupling. Civilian standards - FCC, CISPR, IEC, EN, Military standards - MIL STD 461D/462, EMI Test Instruments/ Systems, EMI Shielded Chamber, Open Area Test Site, TEM Cell, Sensors/ Injectors/ Couplers, Test beds for ESD and EFT. Shielding, Filtering, Grounding, Bonding, Isolation Transformer, Transient Suppressors, Cable Routing, Signal Control, Component Selection and Mounting. PCB Traces Cross Talk, Impedance Control, Power Distribution Decoupling, Zoning, Motherboard Designs and Propagation Delay Performance Models. L = 45 TEXT BOOKS V.P.Kodali, "Engineering EMC Principles, Measurements and Technologies", IEEE Press, 1996 Henry W.Ott, "Noise Reduction Techniques in Electronic Systems", John Wiley and Sons, NewYork. 1988 C.R.Paul, "Introduction to Electromagnetic Compatibility", John Wiley and Sons, Inc, 1992 Bernhard Keiser, "Principles of Electromagnetic Compatibility", Artech house, 3rd Ed, 1986 TOTAL = 45

MA0515-Graph theory and optimization techniques PURPOSE This course is aimed at providing graph theory and optimization techniques for use in VLSI design. INSTRUCTIONAL OBJECTIVES At the end of this course the student will be able to know, graph theory basics, application of it in optimization techniques. SYLLABUS CONTENTS Graphs - Data structures for graphs - Subgraphs - Operations on Graphs Connectivity Networks and the maximum flow - Minimum cut theorem - Trees - Spanning trees - Rooted trees - Matrix representation of graphs. Eulerian graphs and Hamiltonian graphs - Standard theorems - Planar graphs - Euler's formula - Five colour theorem - Coloring of graphs - Chromatic number (vertex and edge) properties and examples - Directed graphs Computer Representation of graphs - Basic graph algorithms - Minimal spanning tree algorithm - Kruskal and Prim's algorithm - Shortest path algorithms - Dijsktra's algorithm DFS and BFS algorithms. Single variable and multivariable optimization - Lagrangian method - Kuhn-Tucker conditions - Random pattern and Random search methods. Classification -Techniques of unconstrained minimization - Scaling of Design variables Direct search methods - Random jumping method - Grid search method - Univariate method Hook and Jeeve's method - Newton - Raphson method - Fibonacci method. L = 45 TEXT BOOKS Narsingh Deo, "Graph Theory with Applications to Engineering and Computer Science," PHI Rao S.S., "Engineering Optimization: Theory and Practice", New Age International Pvt. Ltd., 3rd Edition1998 TOTAL = 45

VL0509-ASIC Design PURPOSE As VLSI implementation is largely in ASIC this subject is introduced here. INSTRUCTIONAL OBJECTIVES To make the student learn, Fundamentals of ASIC, its design methods SYLLABUS CONTENTS Types of ASICs - Design flow - CMOS transistors CMOS Design rules - Combinational Logic Cell - Sequential logic cell - Data path logic cell - Transistors as Resistors - Transistor Parasitic Capacitance- Logical effort -Library cell design - Library architecture . Anti fuse - static RAM - EPROM and EEPROM technology - PREP benchmarks - Actel ACT - Xilinx LCA -Altera FLEX - Altera MAX DC & AC inputs and outputs - Clock & Power inputs - Xilinx I/O blocks. Actel ACT -Xilinx LCA - Xilinx EPLD - Altera MAX 5000 and 7000 - Altera MAX 9000 Altera FLEX -Design systems - Logic Synthesis - Half gate ASIC -Schematic entry - Low level design language - PLA tools -EDIF- CFI design representation. ASIC Construction: Physical Design- System Partitioning- FPGA Partitioning- Partitioning Methods. Floorplanning and Placement: Floorplanning- Placement- Physical Design Flow. Routing: Global Routing - Detailed Routing- Special Routing. Design checks Planar subset problem(PSP) -single layer global routing single layer detailed routing wire length and bend minimization technique-over the cell(OTC) Routing-multichip modules(MCM)-Programmable logic arrays-Transistor chaining-Weinberger Arrays-Gate Matrix Layout-1D compaction-2D compaction L = 45 TEXT BOOKS M.J.S .Smith, "Application Specific Integrated Circuits, Addison -Wesley Longman Inc., 1997 Farzad Nekoogar and Faranak Nekoogar, "From ASICs to SOCs: A Practical Approach", Prentice Hall PTR, 2003 -----------------------------------------------T = 15 TOTAL = 60

You might also like