You are on page 1of 13

APPENDIX Answers to Selected Problems

Chapter'

r-r. '·2.
'-3. '-4.
1-5.

'·6.
1-7.
1-8.

0,1,2,3,4,5,6,7,8,9, A, B, 10, 11, 12, 13 65,535 46; 117.75; 436 151; 580; 35; 260 10011001111; 1010100001.0011101; 10011100010000; 11111001110 (a) 16612.34631. .. (b) 792.41CAC ... (c) 10101111.001011. .. (l1110011101001l1110000lOh = (74723702)8 Deelmal 225 215 403 10949 Binary 11100001 11010111 110010011 10101011000101 336313 E4F9 101100101110
=

actal 341 327 623 25305

Hexadeelma. El D7 193 2AC5

'-9.

(a) 1304; (b) 206; (c) 1101011;

,., o.
1-11. '-12.

110011 (255/5

51)

x=7
(73642815)9
499

500

Appendix '·13. 1·14. 1·15. 87650123; 99019899;09990048;99999999 876100;909343; 900000; 00000o


Number

"5

complement

2'5 complement

10101110 10000001 10000000 00000001 00000000 1-17. 1·19. 1·20. 1·21.

01010001 01111110 01111111 11111110 11111111

01010010 01111111 10000000 11111111 OOOOOOOO

1·22. 1·23.

1·24.

'·28.
1·29. 1-30. 1·31. 1-32. 1·34.

(a) 01010; (b) 01101; (c) -101100; (d) 0000000 (a) 100011 (- 29); (b) 000000; (c) 101111 (-17); (d) 000101 0001 0011 0101 1001 alii 1001 0011 0010 1000 0110 1001 1001 1000 1000 0000 7421 o 0000 1 0001 2 0010 3 0011 4 0100 5 0101 6 0110 7 0111 (or 1000) 8 1001 9 1010 (b) 012345 (c) 000, 001, 010, 10 I, 110, III; for digits 0, I, 2, 3, 4, 5, respectively (a) 1000 0110 0010 0000 (b) 1011 1001 0101 0011 (c) 1110 1100 0010 0000 (d) 10000110101100 3864: 0011 1110 11000100 6[35: 1100 0001 0011 IO[ I John Doe (a) 100100111 (b) 001010010101 (c) 011001001110010110101 94 printing characters; 32 special characters (a) 597 in BCD (b) 264 in excess-3 code (c) Not valid for the 2, 4, 2, 1 code of Table 1-2 0 I0000000 1 + 10000000 [0 = 110000001 [ L = (A + B) . C

Chapter 2

2·2.

(a) x' (b) x

Answers to Selected Problems

501

(c) I
(d) .r '

+Y +

(e) xy'

z'

xtz' y)

2-3.

(a) B (b) z(x (c) x 'y' (d) x(y (e) 0 (a) (b) (c) (d)

+ w)

2-4.

AB B
x

+ C' +y +z + c: D)

A '(B

2-6.
2-7.

2-8.
2-9.

(a) xy + x'y' (b) (A' + B + D)(C' + D)E' (d) x'yz' + xz + x'y' (a) F = (x + y)' + (x + z '}' (b) F = [(y + z '}' + (x + y)' (a) F = [(x'y T(x 'z)'(y 'z)']' (b) F = (y'z)'(x'y')'(yz')'

+ (y + z '}' + (y' + z)']'

2.' O.
2-11.

(a) ~(3, 5, 6, 7) = Il(O, I, 2, 4) (b) ~(O, I, 3, 7) = Il(2, 4, 5, 6) (a) F = ~(2, 3, 6, 7) (b) F' = ~(O, 1, 4, 5) (d) F = y
(c)F=y'z+y(w+x) (a) I(I, 3, 5, 7, 9,11,13,15) = 2,4,6,8,10,12,14) (b) I(3, 5,6, 7) = Il(O, I, 2, 4) (a) AB + BC; (A + C)B (b) x' + Y + 'Z' F' = (x'y + xy')' = xy + x'y' Dual ofFis (x ' + y)(x (a) 4; (b) 3; (c) 2; (d) 2; (e) 1

2-12. 2-16.
2·18. 2-21_

nco,

y')

xy

x'y'

Chapter 3

3-1.

(a) x'y' + xz (b)y+x'z (c) xy + xz + yz (d) A'B + C' (a) (b) (c) (a) (b) (c) (a) (b) (c) (d) (a) (b) xy
.r '

3-2. 3-3. 3-4.

+ x' z ' + yz C' + A'B BCD + A'BD' wx + w'x'y ABD + ABC + CD xz ' + w'y'z + wxy A'C' + A'B'D' + ACD + A'BD(orBCD)
wx + x'y BD + B' D' Be'

A' B (or A ' D')

3-5.

z 'y

+ B'D + AB'C

502

Appendix (c) AC + B' D' + A' BD + B 'c (or CD) (d) xz + wy + x'y (a) F(x, y, z) = ~(3, 5,6,7) (b) F(A, B, C, D) = ~(1, 3, 5, 9,12, 13, 14) (c) F(w, x, y, z) = ~(O, 2, S, 7, 8, 10, 14, IS) (a) The essential prime implicants are xz and x 'z '; F = xz + x'z' + w'x (or w'z') (b) The essential prime implicants are AC, B' 0' and A 'BD; F = AC + B'D' + A'BD + CD (orB'C) (c) The essential prime implicants are BC' and AC; F = BC' + AC + A'B' D (a) A 'B'D' + AD'E + B 'C'D' (b) DE + A'B'C + B'C'E' (c) A'B'D' + B'D'E' + B'CD' + CDE' + BDE' (a) (w' +x')(x + z')(x' + Y + z) (b) (A + D ')(B' + D ') (c) y (d) (B + C')(A + B)(A + C + 0) (b) AC' + CD + B'D = (A + D)(C' + D)(A + B' + C) (c) B'D' + AD' + A'C' = (A' + D')(C' + D')(A + B' + C') F = B'D' + A'BD + A'BC = (A' + B')(B + O')(B' + C + D) (a) A + BC' + C'D' (b) BD + BC + AB' C ' D
I

3-6. 3-7.

3-8. 3-9.

3-10. 3-11. 3-12. 3-13. 3-15. 3-18.

(a)

xy

z' =

(x

+ z')(y +

z ')

F' = BD + BC + AC (a) F = (w + z')(x' + z')(w' + x' (b) F = (w + x)(w' + x')(y + z)(w' F = B'D'(A' + C) + BO(A' + C') = [B' + D(A' + C')][B + O'eA' = [D' + B(A' + C')](o + B'(A' AND-AND = AND AND- NAND = NAND NOR - NAND = OR NOR - AND = NOR

+ y') + z') +
+
C)] C)]

3-21_

OR-OR = OR OR-NOR = NOR NAND- NOR = AND NAND-OR = NAND

3.22. 3-23. 3·24. 3-27.

(a) F = 1 = ~(O, 1,2,3,4,5,6,7) (b) F = B'D' + CD' + ABC'D = ~(O, 2, 6, 8,10,13,14) (c) F = A'D + BD + C'D = ~(l, 3,5,7,9,13,15)
(a)

x'z'

+ w'z = (x' + z)(w' + z')


+
A'CEF'G' + A'BC'D'E

F = C + AD' (a)A'CEF'G' (b) ABCDEFG (c) A'B'C'DEF' 4

BC'D'EF + CE'F

A'BD'EF(orA'BCD'F)

Chapter

4·1. 4-2. 4-3.

F F

= =

A = xy

x' Y + x' z ' + xz + yz; B = x EEl y EEl z; C = xy + xz + yz


I

Answers to Selected Problems

503
Co

4-7.

ao

aobo

a. bo

albo

x Half-adder

C.

aob.
bl

x Half-adder
L...-_---Iy

SI----C2

4-9_

Inputs: x, y, z;
A = xy

outputs: A, B, C, D, E, F
D = yz'

B = xy' + xz C = z (x $ y) 4-10.

E =0 F=z outputs: w, x, y, z; d = I(W, 11, 12, 13, 14, 15);

Inputs: A, B, C, D;
w = A'B'C' x=B$C y=C z = D'

4-11.

Inputs: A, B, C, D;
w = A'B x = B'C y = CD' z=D

outputs: w, x, y, z;

+ A'e + A'D + AB'C'D' + B'D + Be'D' + C'D


output: E = AB + AC outputs: w, x, y, z; d = l(l, 2, 3, 12, 13, 14);

4-12. 4-13.

Inputs: A, B, c. D; Inputs: A, B, C, D;
w = AB x = B'C y = CD' z=D

+ AC'D' + B'D + BC'D' + C'D


outputs: w, x, y, z; d = I(S, 6, 7,8,9, 10);

4-14.

Inputs: A, B, C, D; w=A x = A 'C y = ACD z=D

+ A' B + A' D + BCD + AC'D' + A'e'D + A'CD'

504

Appendix

4-15.

Inputs: w, x, y, z; E B
= wx

outputs: E, A, B, C, D;

wy xy wxy'

A = wx'y' = w'x C = w'y D =z

+
+

4-16.

Inputs: A, B, C, D;
a = A'C b = A'B' e = A'B

outputs: a, b, e, d, e, j, g;

+ A'BD + B'C'D' + AB'C' + A'CD' + A'CD + AB'C' + A'D + B'C'D' + AB'C' A'CD' + A'B'C + B'C'D' + AB'C' +
B'C'D' + A'C'D' + A'B'C

A'BCD

e = A'CD' f = A'BC' g = A'CD'

+ A'BD' + AB'C + A'Be' + AB'C'

4-17_ 4-21. 4-28.

Full-adder circuit
F = ABC C = ABC

+ +

A'D A,D'

Inputs: A, B, C, D;
w=A x=Affi8 y=AffiBffiC=xffiC z = A ffiB 8jCl±:lD

outputs: w, x, y, z

= y(±)D

4-30.

(A

ffi 8)(C

(±) D)

Chapter 5
5-3. 5-4. (a) A = 0110; B = 1001; M = I (b) S = 110 1 (2's complement of 0011); Co Sum C4 (a) (b) (c) (d) (e) 1101 0001 0100
lOll
=

0 (because A < B)

a
0 0

1111

7+6= 8+9= 12 - 8 = 5 - 10 = 0-1 =

13 16 4 -5 -I

+I
(in 2's complement) (in 2's complement)

5-5.
5-6. 5-7.

5-8.
5-9. 5-10. 5-13. 5-15.

(b) C4 = (G~P~ + G~G2P~ + mC2C[p[ + GiCW[Ci)' (c) C4 = (P~ + G'.,P2 + GW2P[ + GWW;C;)' 60 ns C = G4 + P4G3 + P4P3G2 + P4l'3P2G[ + P4P3P2PI C1
312 x= FI(x, f;(x, F3(x,

See the answer to Problem 4-10, (Ao 8 Bo)(Al 8 B1)(A2 8 8J(A)


y, z) = S(O, 5, 7) y, z) = S(2, 3, 4) y, z) = S(I, 6, 7)

8B

3)

Answers to Selened

Problems;

505

5-16.

5-20.
5·21.

5-27.
5-28.

5-30. 5-31. 5-33.

5-37.

Use NAND gates for FI and F2; AND gate for F3. X = D6D{ y = DoDr + DW~ V = Do + DI + D2 + D3 For inputs D5 = D3 = 1, the outputs are xyz = 101; V F(A, B, C, D) = I,(1, 6, 7, 9, 10, 11, 12) WhenAB = 00, F = D When AB = 01, F = (C + D)' (use a NOR gate) When AB = 10, F = CD (use an AND gate) WhenAB = 11, F = 1 24 pins (a) 256 x 8; (b) 512 x 5; (c) 1024 x 4; (d) 32 x 7 Six product terms: yz', xz', x'y'z, xy', x'y, z A = yz' + xz' + x'y'z B = x' y' + xy + yz C = A + xyz D = z + x'y

1.

Chapter 6 6-6. (c)


00/0 10/0
11/0

00/1

01/1 01/1

10/1

11/1

6-7.
x = 0:

(b)

~~

1:

506

Appendix

6-8.

Present State 0

Inputs x y

Next State
Q

Output 5

0 0
0

0 1 1 1 6·9. 6-10.

0 0 1 1
0 0

0 1
0

0 0
0

0 1 1
0

1
0 I 0

1
0 I

1
0 0

A counter with a repeated sequence of 00, 01, 10. (a) J 0 0 1 1


N

art +
0 Q (r) Q '(r) 1

1)

1 0 1

(b) O(t)

art +
0 1 0

1)

0 0 1

0 1

x
X

X
X

6·11.

Present State
A B

Input x

Next State
A B

Output Y

0 0
0 0

0 0
I I 0

0
I

0
I I

1
I I

0 1 0
I

1 0 0
I

0
I I

0
I I

0
I

1 6·12.
(c) A(t B(t

0 0 1 1

0 1 1 0

0 1 0 0

+ I) = xB + x'A + yA + y'A'B' + 1) = xA'B' + (x' + y')A'B

Answers to Sefected Problems

507

6-13.

Present state; Input: Output: Next state:

00 00 01 00 01 II 00 01 11 100001 11 10 10 010110111011110 o 0 1 0 0 1 000 100 001 00 01 00 01 11 00 01 11 100001 11 10 10 00


Next State Output 0

6·14.
Present State

a b
d

f
d

f
6-15.

f
g

b a a b
d

0 0 1 0

0 0 0

State: af bee dghggha Input: 0 I 1 1 0 0 1 0 0 1 1 Output: 0 1 0 0 0 1 1 1 0 1 0 State: a f b a b d g d g g d a Input: 0 1 1 1 0 0 1 0 0 1 1 Output: 0 1 0 0 0 1 1 1 0 1 0


DQ

6-16.

6·19. 6-20.

=
= =

Q'I

QK'

DA DB JA IB

Ax' A'x KA KB

+ Bx + BX'

6-21. 6-22.

= =

= =

(Bx E

+ B'x')E

(a) DA = A 'B 'x

DB = A + C'x + BCx DC = Cx' + Ax + A'B'x' Y = A'x (b) JA = B'X KA. = 1 IB = A + C'x' KB = C'x JC=Ax+A'B'x' KC=x y = A'x
I

+ CX'

6-23.

SA = BX'

SB

RA = BX

B'X ABx ABx

RB

A'x'

+ ABx

6-24. 6-25.

TA TB

= =

+ AIBx' + A'Bx' + B'X


KA. = B

(a) JA = BC IB = C JC = A' + B' (b) DA = A EB B DB = AB' + C DC = A'B'C'

KB=A+C KC = I

508

Appendix

(c) TA = B TB = C TC = AB + C' (d) TA = A EB B


1'B=BEBC

TC = AC TC = AC

+ +

A 'e' A 'B 'e'

(not self-correcting)

(self-correcting)

Chapter 7

7-5.
7-10.

7-11.
7-16. 7-17. 7-18. 7-20.

7-23.

7-33.
7-34.

7-35.
7-36. 7-37 _

1110; 0111; 1011; 1101; 0110; 1011 A = 0010,0001,1000,1100; Q = 1, I, 1,0 JQ = x'y;KQ = (x ' + y)' 100 ns: 10 MHz (a) 4; (b) 9 10 10 --?> 1011 --?> 0100 1100 --?> 1101 --?> 0100 1110-1111--+0000 DAI = Al DA2 = Az EB Al DA) = A3 EB (AI Az) DA4 = A4 EB (AIA2A,) JAI = KA1 = 1 JA2 = AlAR; KA2 = AIA~ (or = AI) JA4 = KA4 = A I A2 JAB = AIA2A4; KA8 = AI (a) 11,16; (b) 16,8 (c) 24,32; (d) 17, 12 1000010111; 0000100010100001 (a) 16; (b) 11, 7; (c) 4 x 16 decoder (a) 8; (b) 128 (a) 10, 8; (b) 32; (c) 14, 16; (d) 4 X 16 decoder 0001101110111 101110011001010 (a) 01011010; (b) 11000110; (a) 6; (b) 7; (c) 7 (a) 0101010

7-38.
7-39_ 7·40. 7-41. 7-42_

(e)

11110100

Chapter 8 8-8_ 8-9_ MUX I: 0, A, A4, 0, 0 MUX2: S, 1,0,0


DT]} = S'To
D1'I = STo + ET_, DT2 = Z' TI + E'T3 DT, = 1'2

ZTI

Answen to Selected Problems

509

8-13.

8-14. 8·16. 8-17. 8-18.

(2" - 1)(2" - 1) < (22n Product = 1010001011 2t(n + 1)


(a) JGl KGl JG2 KGz (b) DG1 = G: = ZG2
= =

1) for n ~ 1

Gl

T, + Tz + Z T3 DGz = STu + T2 (c) MUXl: 0, 1, 1, Z' MUX2: S, 0, 1,0 (d) DTo = S'To + ZT3 DT) = STo DT2 = T, + Z'T3 DT3 = T2
l

=1

+S

510

Appendix

Chapter

9-2. 9-3.

Sequence of YIY2: 00,00,01, 11, 11,01,00. (d) When the input is 01, the output is O. When the input is 10, the output is 1. Whenever the input assumes one of the other two combinations, the output retains its previous value.
00 01 b, I @, 1 h, I
II JO

a
b c

@,o a, 0
©,I c, I

c, I

d, 0 @,O d, 1 @,I

c, I
©,I c, I

b, I

(c) y, =

XiX2

Y2 = X2

X2YI

X'Y2

Z = X,X2Y: = x,x~ R = XiX2

+ x,y~

9-13.

(b) Two possible transition tables:


00 01 b,@, I
II

10

a b
d e

@,O @, I

-, -

e,-

d,@, 1 @,I
10 b,-

a,@, 1
00

@,

-,

d,01 b,-

-, II -, -

a
b c d

@,O

c,-

@, I
t

@,o

0,
a,-

d,@, t

--

d,@,
1

9-18_ 9-20.

3a: (a, b)(c, d)(e, j, g, h) 3b: (a, e ,f)(b, j)(c, d)(g, h)(k) Add states g and h to binary assignment.

~I

00

01 g

II

10

b d

j e

Answen

to Selected Problems

511

9·22. '·23.
10·1. 10-2. 10-3. 10-4. 10·5. 10-9. 10-10.

F =; A' D' + AC' D' + A' BC + A 'CD' Y = (Xl + xD(x~ + X])(XI + Xl) Fan-out = 10; power dissipation =; 18.75 mW; propagation delay margin = 0.3 V (a) 1.058 V (b) 0.82 V (c) 0.238 V IB = 0.44 rnA, lcs = 2.4 rnA (a) 2.4 rnA (b) 0.82 rnA (c) 2.4 + 0.82N (d) 7.8 (e) 7 (b) 3.53 (c) 2.585 rnA (d) 16 rnA (e) 300 n (a) 4.62 rnA (b) 4 rnA 0.3 V

Chapter 10

3 ns; noise

You might also like