Professional Documents
Culture Documents
MOKHTAR NIBOUCHE
MOKHTAR.NIBOUCHE@UWE.AC.UK
ROOM 2N36
DEPARTMENT OF ENGINEERING DESIGN AND
MATHEMATICS
FACULTY OF ENVIRONMENT AND TECHNOLOGY
UNIVERSITY OF THE WEST OF ENGLAND
Learning Outcomes
2
Behavioural (Functional)
The system in terms of its functionality
It does not contain any information about the
internal system structure.
It describes the expected behaviour: What a
system will do.
Response of outputs to inputs.
No clue as to HOW but describes WHAT a system
has to do.
VHDL Paradigms
6
Data Flow
How the inputs and outputs of built in
primitives are connected together.
It describes how signals (data) flow through the
logic circuit.
Mid ground between Behavioural and structural.
VHDL Paradigms
7
Structural
HOW a system is structured
what components should be used
Synthesis
Timing req met?
Functional Simulation
Design OK
Timing Simulation
1
Describing a System in VHDL
9
An entity
An architecture
Packages
The Entity
10
entity entity_name is
generic (generic_list);
port (port_list);
end entity;
The Architecture
11
library ieee;
use ieee.std_logic_1164.all;
Example The Lift System
13
Data flow
Simulation 1
15
VHDL Implementation 2
16
Behavioural
Same Entity
Different architecture
Simulation 2
17
Communication
18
Describes
How a system is structured/Internal structure
of the system.
What components should be used.
component component_name
generic (generic_list);
port (port_list);
end component;
Component Declaration
24
A port clause
component component_name
generic (generic_list);
port (port_list);
end component;
Component Instantiation
25
instantiation_label: component_name
generic map (generic_list)
port map (port_list) ;
Component Example
26
A
B Q
C
VHDL Code Describing a Block
29
Library
Entity
Architecture
A
B Q
C
Component Declaration/Instantiation
30
Component declaration
component lab4
port (a, b, c : in std_logic; Similar to the
q : out std_logic); entity declaration
end component;
Component Instantiation
inst1: lab4 port map (A(0), B(0), C, D(0)); Three instances
inst2: lab4 port map (A(1), B(1), D(0), D(1));
for three blocks
inst3: lab4 port map (A(2), B(2), D(1), Q);
Signals to link Different Blocks
31
A2
A1 B2 Q
A0 B1
B0 D1
C D0
Library
Entity
Component
Architecture
Declaration
Component
Instantiation