You are on page 1of 39

Universidad Nacional Hermilio

Valdizán de Huánuco

Ingeniería de Sistemas
Curso: Manufactura Integrada por Computador

Dr. Ing. Aland Bravo Vecorena


Controladores Lógicos
Programables (PLCs)
Puertos

Entradas

Salidas
Barrido de Entrada
CPU

Ejecución del Fuente de Alimentación


Programa

Actualización de
Salida
Programa Datos
Sistema
Imagen
CPU
Programa
Controladores Lógicos
Programables (PLCs)
Controladores Lógicos
Programables (PLCs)
Controladores Lógicos
Programables (PLCs)

No es
Recomendable
Controladores Lógicos
Programables (PLCs)
87 87a 88 88a
85
Si es
Recomendable

86
30 31
Controladores Lógicos
Programables (PLCs)
Controladores Lógicos
Programables (PLCs)
Controladores Lógicos
Programables (PLCs)
Controladores Lógicos
Programables (PLCs)
Controladores Lógicos
Programables (PLCs)
Controladores Lógicos
Programables (PLCs)
Controladores Lógicos
Programables (PLCs)
Controladores Lógicos
Programables (PLCs)
Controladores Lógicos
Programables (PLCs)
Controladores Lógicos
Programables (PLCs)
Controladores Lógicos
Programables (PLCs)
Controladores Lógicos
Programables (PLCs)
Controladores Lógicos
Programables (PLCs)
Controladores Lógicos
Programables (PLCs)
Controladores Lógicos
Programables (PLCs)
Controladores Lógicos
Programables (PLCs)
ITS PLC de RealGames
ITS PLC de RealGames
ITS PLC de RealGames
ITS PLC de RealGames
ITS PLC de RealGames
ITS PLC de RealGames
ITS PLC de RealGames
ITS PLC de RealGames
ITS PLC de RealGames
ITS PLC de RealGames
ITS PLC de RealGames
ITS PLC de RealGames
ITS PLC de RealGames
ITS PLC de RealGames
ITS PLC de RealGames
Grupos de Investigación
 G1: Automatización SCADA con PLCs de
las Estaciones de Trabajo del Laboratorio
CIM.
 G2: Automatización de una Fábrica en 3D
con Factory I/O para Cadenas Productivas
de la Región Huánuco.
 G3: Modelado y Control de Trayectorias del
Robot SCARA en MATLAB / CIROS.
Universidad Nacional Hermilio
Valdizán de Huánuco

Ingeniería de Sistemas
Curso: Manufactura Integrada por Computador

Dr. Ing. Aland Bravo Vecorena

You might also like